Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "Vinay A"
more than 1000 matches, exporting first 1000 hits only!
@book{DBLP:books/sp/MuddanaV24, author = {A. Lakshmi Muddana and Sandhya Vinayakam}, title = {Python for Data Science}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-52473-8}, doi = {10.1007/978-3-031-52473-8}, isbn = {978-3-031-52472-1}, timestamp = {Mon, 29 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/MuddanaV24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AkgunSKJNAJKCKSCBZ24, author = {Berk Akgun and Deepak Singh Mahendar Singh and Samatha Kotla and Vikas Jain and Sakshi Namdeo and Rupesh Acharya and Muruganandam Jayabalan and Abhishek Kumar and Vinay Chande and Arumugam Kannan and Jalaj Swami and Yitao Chen and John Boyd and Xiaoxia Zhang}, title = {Interference-Aware Intelligent Scheduling for Virtualized Private 5G Networks}, journal = {{IEEE} Access}, volume = {12}, pages = {7987--8003}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3350513}, doi = {10.1109/ACCESS.2024.3350513}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/AkgunSKJNAJKCKSCBZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/DiwanMG24, author = {Anjali Diwan and Rajesh Mahadeva and Vinay Gupta}, title = {Advancing Copy-Move Manipulation Detection in Complex Image Scenarios Through Multiscale Detector}, journal = {{IEEE} Access}, volume = {12}, pages = {64736--64753}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3397466}, doi = {10.1109/ACCESS.2024.3397466}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/DiwanMG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/GoldaMPSHCS24, author = {Abenezer Golda and Kidus Mekonen and Amit Pandey and Anushka Singh and Vikas Hassija and Vinay Chamola and Biplab Sikdar}, title = {Privacy and Security Concerns in Generative {AI:} {A} Comprehensive Survey}, journal = {{IEEE} Access}, volume = {12}, pages = {48126--48144}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3381611}, doi = {10.1109/ACCESS.2024.3381611}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/GoldaMPSHCS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MamtaSWMBV24, author = {Mamta and Vinay Pratap Singh and Akanksha V. Waghmare and Veerpratap P. Meena and Francesco Benedetto and Tarun Varshney}, title = {Rank Exponent Method Based Optimal Control of {AGC} for Two-Area Interconnected Power Systems}, journal = {{IEEE} Access}, volume = {12}, pages = {35571--35585}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3373043}, doi = {10.1109/ACCESS.2024.3373043}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/MamtaSWMBV24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SinghDSPHCS24, author = {Prateek Singh and Ritangshu Dasgupta and Anushka Singh and Harsh Pandey and Vikas Hassija and Vinay Chamola and Biplab Sikdar}, title = {A Survey on Available Tools and Technologies Enabling Quantum Computing}, journal = {{IEEE} Access}, volume = {12}, pages = {57974--57991}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3388005}, doi = {10.1109/ACCESS.2024.3388005}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SinghDSPHCS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/VinayVRPSB24, author = {N. A. Vinay and K. N. Vidyasagar and S. Rohith and Dayananda Pruthviraja and S. Supreeth and S. H. Bharathi}, title = {An RNN-Bi {LSTM} Based Multi Decision {GAN} Approach for the Recognition of Cardiovascular Disease {(CVD)} From Heart Beat Sound: {A} Feature Optimization Process}, journal = {{IEEE} Access}, volume = {12}, pages = {65482--65502}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3397574}, doi = {10.1109/ACCESS.2024.3397574}, timestamp = {Sun, 19 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/VinayVRPSB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/adhoc/UddinKC24, author = {Ryhan Uddin and Sathish A. P. Kumar and Vinay Chamola}, title = {Denial of service attacks in edge computing layers: Taxonomy, vulnerabilities, threats and solutions}, journal = {Ad Hoc Networks}, volume = {152}, pages = {103322}, year = {2024}, url = {https://doi.org/10.1016/j.adhoc.2023.103322}, doi = {10.1016/J.ADHOC.2023.103322}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/adhoc/UddinKC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/adhoc/VermaCKDM24, author = {Girraj Kumar Verma and Vinay Chamola and Neeraj Kumar and Ashok Kumar Das and Dheerendra Mishra}, title = {Efficient and secure signcryption-based data aggregation for Internet of Drone-based drone-to-ground station communication}, journal = {Ad Hoc Networks}, volume = {159}, pages = {103502}, year = {2024}, url = {https://doi.org/10.1016/j.adhoc.2024.103502}, doi = {10.1016/J.ADHOC.2024.103502}, timestamp = {Mon, 20 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/adhoc/VermaCKDM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithms/JengNJLKCIBVFSAE24, author = {Mingyoung Joshua Jeng and Md. Alvir Islam Nobel and Vinayak Jha and David Levy and Dylan Kneidel and Manu Chaudhary and S. M. Ishraq Ul Islam and Evan Baumgartner and Eade Vanderhoof and Audrey Facer and Manish Singh and Abina Arshad and Esam El{-}Araby}, title = {Optimizing Multidimensional Pooling for Variational Quantum Algorithms}, journal = {Algorithms}, volume = {17}, number = {2}, pages = {82}, year = {2024}, url = {https://doi.org/10.3390/a17020082}, doi = {10.3390/A17020082}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/algorithms/JengNJLKCIBVFSAE24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cam/KaurKR24, author = {Anurag Kaur and Vinay Kanwar and Higinio Ramos}, title = {A coupled scheme based on uniform algebraic trigonometric tension B-spline and a hybrid block method for Camassa-Holm and Degasperis-Procesi equations}, journal = {Comput. Appl. Math.}, volume = {43}, number = {1}, pages = {16}, year = {2024}, url = {https://doi.org/10.1007/s40314-023-02530-4}, doi = {10.1007/S40314-023-02530-4}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cam/KaurKR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cogcom/ChouguleBCN24, author = {Amit Chougule and Agneya Bhardwaj and Vinay Chamola and Pratik Narang}, title = {AGD-Net: Attention-Guided Dense Inception U-Net for Single-Image Dehazing}, journal = {Cogn. Comput.}, volume = {16}, number = {2}, pages = {788--801}, year = {2024}, url = {https://doi.org/10.1007/s12559-023-10244-2}, doi = {10.1007/S12559-023-10244-2}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cogcom/ChouguleBCN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cogcom/HassijaCMSGHSSMH24, author = {Vikas Hassija and Vinay Chamola and Atmesh Mahapatra and Abhinandan Singal and Divyansh Goel and Kaizhu Huang and Simone Scardapane and Indro Spinelli and Mufti Mahmud and Amir Hussain}, title = {Interpreting Black-Box Models: {A} Review on Explainable Artificial Intelligence}, journal = {Cogn. Comput.}, volume = {16}, number = {1}, pages = {45--74}, year = {2024}, url = {https://doi.org/10.1007/s12559-023-10179-8}, doi = {10.1007/S12559-023-10179-8}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cogcom/HassijaCMSGHSSMH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cogcom/SaiMCHSSTH24, author = {Siva Sai and Uday Mittal and Vinay Chamola and Kaizhu Huang and Indro Spinelli and Simone Scardapane and Zhiyuan Tan and Amir Hussain}, title = {Machine Un-learning: An Overview of Techniques, Applications, and Future Directions}, journal = {Cogn. Comput.}, volume = {16}, number = {2}, pages = {482--506}, year = {2024}, url = {https://doi.org/10.1007/s12559-023-10219-3}, doi = {10.1007/S12559-023-10219-3}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cogcom/SaiMCHSSTH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cssp/AwasthiS24, author = {Divyanshu Awasthi and Vinay Kumar Srivastava}, title = {An Optimized and Secured Image Watermarking and Its Dual Authentication for Internet of Medical Things}, journal = {Circuits Syst. Signal Process.}, volume = {43}, number = {2}, pages = {1270--1297}, year = {2024}, url = {https://doi.org/10.1007/s00034-023-02519-8}, doi = {10.1007/S00034-023-02519-8}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cssp/AwasthiS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cssp/DwivediAS24, author = {Ranjana Dwivedi and Divyanshu Awasthi and Vinay Kumar Srivastava}, title = {An Optimized Dual Image Watermarking Scheme based on Redundant {DWT} and Randomized {SVD} with Henon Mapping Encryption}, journal = {Circuits Syst. Signal Process.}, volume = {43}, number = {1}, pages = {408--456}, year = {2024}, url = {https://doi.org/10.1007/s00034-023-02479-z}, doi = {10.1007/S00034-023-02479-Z}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cssp/DwivediAS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/CharakMBSK24, author = {Isha Charak and M. Manhas and A. K. Bedyal and H. C. Swart and Vinay Kumar}, title = {Exploring the potential of Sm\({}^{\mbox{3+}}\)-doped Sr\({}_{\mbox{2}}\)B\({}_{\mbox{2}}\)O\({}_{\mbox{5}}\) phosphors for bridging the amber gap in w-LED application}, journal = {Displays}, volume = {81}, pages = {102624}, year = {2024}, url = {https://doi.org/10.1016/j.displa.2023.102624}, doi = {10.1016/J.DISPLA.2023.102624}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/displays/CharakMBSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/SharmaK24, author = {Rishabh Sharma and Vinay Kukreja}, title = {Image segmentation, classification and recognition methods for comics: {A} decade systematic literature review}, journal = {Eng. Appl. Artif. Intell.}, volume = {131}, pages = {107715}, year = {2024}, url = {https://doi.org/10.1016/j.engappai.2023.107715}, doi = {10.1016/J.ENGAPPAI.2023.107715}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/SharmaK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eait/BhattacherjeeKA24, author = {Abhishek Bhattacherjee and Vinay Kukreja and Arun Aggarwal}, title = {Stakeholders' perspective towards employability: a hybrid fuzzy {AHP-TOPSIS} Approach}, journal = {Educ. Inf. Technol.}, volume = {29}, number = {2}, pages = {2157--2181}, year = {2024}, url = {https://doi.org/10.1007/s10639-023-11858-7}, doi = {10.1007/S10639-023-11858-7}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eait/BhattacherjeeKA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ei/BioSASHS24, author = {Matthew Bio and Wolfgang Scherr and Andrew Selasi Agbemenu and Santiago Martin Sond{\'{o}}n and Vinayak Hande and Johannes Sturm}, title = {BAG2-assisted analog layout synthesis for {TSMC} 65{\unicode{8239}}nm and {GPDK} 45{\unicode{8239}}nm}, journal = {Elektrotech. Informationstechnik}, volume = {141}, number = {1}, pages = {88--100}, year = {2024}, url = {https://doi.org/10.1007/s00502-023-01203-8}, doi = {10.1007/S00502-023-01203-8}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ei/BioSASHS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejis/KhuntiaSKT24, author = {Jiban Khuntia and Terence Saldanha and Abhishek Kathuria and Mohan R. Tanniru}, title = {Digital service flexibility: a conceptual framework and roadmap for digital business transformation}, journal = {Eur. J. Inf. Syst.}, volume = {33}, number = {1}, pages = {61--79}, year = {2024}, url = {https://doi.org/10.1080/0960085x.2022.2115410}, doi = {10.1080/0960085X.2022.2115410}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejis/KhuntiaSKT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-qtc/NosouhiSCJG24, author = {Mohammad Reza Nosouhi and Keshav Sood and Vinay Chamola and Jongkil Jay Jeong and Anuroop Gaddam}, title = {Towards quantum-secure software defined networks}, journal = {{IET} Quantum Commun.}, volume = {5}, number = {1}, pages = {66--71}, year = {2024}, url = {https://doi.org/10.1049/qtc2.12073}, doi = {10.1049/QTC2.12073}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-qtc/NosouhiSCJG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijahuc/DewanGN24, author = {Ankita Dewan and Venkata M. V. Gunturi and Vinayak Naik}, title = {{NEAT} activity detection using smartwatch}, journal = {Int. J. Ad Hoc Ubiquitous Comput.}, volume = {45}, number = {1}, pages = {36--51}, year = {2024}, url = {https://doi.org/10.1504/IJAHUC.2024.136141}, doi = {10.1504/IJAHUC.2024.136141}, timestamp = {Fri, 16 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijahuc/DewanGN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijnm/AgarwalRTY24, author = {Udit Agarwal and Vinay Rishiwal and Sudeep Tanwar and Mano Yadav}, title = {Blockchain and crypto forensics: Investigating crypto frauds}, journal = {Int. J. Netw. Manag.}, volume = {34}, number = {2}, year = {2024}, url = {https://doi.org/10.1002/nem.2255}, doi = {10.1002/NEM.2255}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijnm/AgarwalRTY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/inffus/GoyalDLGACK24, author = {Bhawna Goyal and Ayush Dogra and Dawa Chyophel Lepcha and Vishal Goyal and Ahmed Alkhayyat and Jasgurpreet Singh Chohan and Vinay Kukreja}, title = {Recent advances in image dehazing: Formal analysis to automated approaches}, journal = {Inf. Fusion}, volume = {104}, pages = {102151}, year = {2024}, url = {https://doi.org/10.1016/j.inffus.2023.102151}, doi = {10.1016/J.INFFUS.2023.102151}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/inffus/GoyalDLGACK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ChamolaCSHY24, author = {Vinay Chamola and Amit Chougule and Aishwarya Sam and Amir Hussain and Fei Richard Yu}, title = {Overtaking Mechanisms Based on Augmented Intelligence for Autonomous Driving: Data Sets, Methods, and Challenges}, journal = {{IEEE} Internet Things J.}, volume = {11}, number = {10}, pages = {17911--17933}, year = {2024}, url = {https://doi.org/10.1109/JIOT.2024.3362851}, doi = {10.1109/JIOT.2024.3362851}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/ChamolaCSHY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotm/SaiGHC24, author = {Siva Sai and Aanchal Gaur and Vikas Hassija and Vinay Chamola}, title = {Artificial Intelligence Empowered Digital Twin and NFT-Based Patient Monitoring and Assisting Framework for Chronic Disease Patients}, journal = {{IEEE} Internet Things Mag.}, volume = {7}, number = {2}, pages = {101--106}, year = {2024}, url = {https://doi.org/10.1109/IOTM.001.2300138}, doi = {10.1109/IOTM.001.2300138}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotm/SaiGHC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jece/KabburM24, author = {Mahabaleshwar Kabbur and M. Vinayaka Murthy}, title = {{MVR} Delay: {A} Queueing Based Routing Model for {C-V2X} Mode 4 in VANET's}, journal = {J. Electr. Comput. Eng.}, volume = {2024}, pages = {1417112:1--1417112:11}, year = {2024}, url = {https://doi.org/10.1155/2024/1417112}, doi = {10.1155/2024/1417112}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jece/KabburM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/PandeyKK24, author = {Raksha Pandey and Alok Kumar Singh Kushwaha and Vinay Kumar}, title = {Detecting video forgery: {A} machine learning approach for consistency analysis of video frames}, journal = {J. Intell. Fuzzy Syst.}, volume = {46}, number = {3}, pages = {6807--6820}, year = {2024}, url = {https://doi.org/10.3233/jifs-235818}, doi = {10.3233/JIFS-235818}, timestamp = {Mon, 18 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jifs/PandeyKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocch/VinayavekhinKSCTM24, author = {Phongtharin Vinayavekhin and Banphatree Khomkham and Vorapong Suppakitpaisarn and Philippe Codognet and Torahiko Terada and Atsushi Miura}, title = {Identifying Relationships and Classifying Western-style Paintings: Machine Learning Approaches for Artworks by Western Artists and Meiji-era Japanese Artists}, journal = {{ACM} Journal on Computing and Cultural Heritage}, volume = {17}, number = {1}, pages = {6:1--6:18}, year = {2024}, url = {https://doi.org/10.1145/3631136}, doi = {10.1145/3631136}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocch/VinayavekhinKSCTM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/GautamRDK24, author = {Vinay Gautam and Ranjeet Kumar Ranjan and Priyanka Dahiya and Anil Kumar}, title = {{ESDNN:} {A} novel ensembled stack deep neural network for mango leaf disease classification and detection}, journal = {Multim. Tools Appl.}, volume = {83}, number = {4}, pages = {10989--11015}, year = {2024}, url = {https://doi.org/10.1007/s11042-023-16012-6}, doi = {10.1007/S11042-023-16012-6}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/GautamRDK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/JawaharAAR24, author = {Malathy Jawahar and L. Jani Anbarasi and S. Mahesh Anand and Vinayakumar Ravi}, title = {Intelligent leather defect classification using Fourier angular radial partitioning algorithm with ensemble classifier}, journal = {Multim. Tools Appl.}, volume = {83}, number = {13}, pages = {38857--38882}, year = {2024}, url = {https://doi.org/10.1007/s11042-023-16224-w}, doi = {10.1007/S11042-023-16224-W}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/JawaharAAR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/LSRAP24, author = {Srividhya L and V. Sowmya and Vinaykumar Ravi and Gopalakrishnan E. A and Soman K. P}, title = {Deep learning-based approach for multi-stage diagnosis of Alzheimer's disease}, journal = {Multim. Tools Appl.}, volume = {83}, number = {6}, pages = {16799--16822}, year = {2024}, url = {https://doi.org/10.1007/s11042-023-16026-0}, doi = {10.1007/S11042-023-16026-0}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/LSRAP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/RaoRSHTSSVB24, author = {M. C. Ananthram Rao and Subin Raj and Aumkar Kishore Shah and B. R. Harshitha and Naveen R. Talawar and Vinay Krishna Sharma and M. Sanjana and Himanshu Vishwakarma and Pradipta Biswas}, title = {Development and comparison studies of {XR} interfaces for path definition in remote welding scenarios}, journal = {Multim. Tools Appl.}, volume = {83}, number = {18}, pages = {55365--55404}, year = {2024}, url = {https://doi.org/10.1007/s11042-023-17604-y}, doi = {10.1007/S11042-023-17604-Y}, timestamp = {Mon, 20 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/RaoRSHTSSVB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/SSAR24, author = {Sapna S and Sandhya S and Vasundhara Acharya and Vinayakumar Ravi}, title = {Apple foliar leaf disease detection through improved capsule neural network architecture}, journal = {Multim. Tools Appl.}, volume = {83}, number = {16}, pages = {48585--48605}, year = {2024}, url = {https://doi.org/10.1007/s11042-023-17463-7}, doi = {10.1007/S11042-023-17463-7}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/SSAR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/SinghKKP24, author = {Anil Kumar Singh and Ankit Kumar and Vinay Kumar and Shiv Prakash}, title = {{COVID-19} Detection using adopted convolutional neural networks and high-performance computing}, journal = {Multim. Tools Appl.}, volume = {83}, number = {1}, pages = {593--608}, year = {2024}, url = {https://doi.org/10.1007/s11042-023-15640-2}, doi = {10.1007/S11042-023-15640-2}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/SinghKKP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/SinghVGMA24, author = {Gurjot Singh and Abhinav Verma and Lavanya Gupta and Anant Mehta and Vinay Arora}, title = {An automated diagnosis model for classifying cardiac abnormality utilizing deep neural networks}, journal = {Multim. Tools Appl.}, volume = {83}, number = {13}, pages = {39563--39599}, year = {2024}, url = {https://doi.org/10.1007/s11042-023-16930-5}, doi = {10.1007/S11042-023-16930-5}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/SinghVGMA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/TiwariS24, author = {Anurag Tiwari and Vinay Kumar Srivastava}, title = {Image watermarking techniques based on Schur decomposition and various image invariant moments: a review}, journal = {Multim. Tools Appl.}, volume = {83}, number = {6}, pages = {16447--16483}, year = {2024}, url = {https://doi.org/10.1007/s11042-023-16109-y}, doi = {10.1007/S11042-023-16109-Y}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/TiwariS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/LalCL24, author = {Utkarsh Lal and Arjun Vinayak Chikkankod and Luca Longo}, title = {Fractal dimensions and machine learning for detection of Parkinson's disease in resting-state electroencephalography}, journal = {Neural Comput. Appl.}, volume = {36}, number = {15}, pages = {8257--8280}, year = {2024}, url = {https://doi.org/10.1007/s00521-024-09521-4}, doi = {10.1007/S00521-024-09521-4}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/LalCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ShivannaG24, author = {Vinay Malligere Shivanna and Jiun{-}In Guo}, title = {Object Detection, Recognition, and Tracking Algorithms for ADASs - {A} Study on Recent Trends}, journal = {Sensors}, volume = {24}, number = {1}, pages = {249}, year = {2024}, url = {https://doi.org/10.3390/s24010249}, doi = {10.3390/S24010249}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ShivannaG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/BiswasNA24, author = {Ritujoy Biswas and Karan Nathwani and Vinayak Abrol}, title = {Statistically Guided Near-End Speech Intelligibility Improvement Through Voice Transformation and Transfer Learning}, journal = {{IEEE} {ACM} Trans. Audio Speech Lang. Process.}, volume = {32}, pages = {1445--1456}, year = {2024}, url = {https://doi.org/10.1109/TASLP.2024.3359893}, doi = {10.1109/TASLP.2024.3359893}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taslp/BiswasNA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/ChouguleCHGY24, author = {Amit Chougule and Vinay Chamola and Vikas Hassija and Pranav Gupta and Fei Richard Yu}, title = {A Novel Framework for Traffic Congestion Management at Intersections Using Federated Learning and Vertical Partitioning}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {70}, number = {1}, pages = {1725--1735}, year = {2024}, url = {https://doi.org/10.1109/TCE.2023.3320362}, doi = {10.1109/TCE.2023.3320362}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/ChouguleCHGY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/MishraGSS24, author = {Vinaytosh Mishra and Kishu Gupta and Deepika Saxena and Ashutosh Kumar Singh}, title = {A Global Medical Data Security and Privacy Preserving Standards Identification Framework for Electronic Healthcare Consumers}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {70}, number = {1}, pages = {4379--4387}, year = {2024}, url = {https://doi.org/10.1109/TCE.2024.3373912}, doi = {10.1109/TCE.2024.3373912}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/MishraGSS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/SaiPGC24, author = {Siva Sai and Manish Prasad and Akshat Garg and Vinay Chamola}, title = {Synergizing Digital Twins and Metaverse for Consumer Health: {A} Case Study Approach}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {70}, number = {1}, pages = {2137--2144}, year = {2024}, url = {https://doi.org/10.1109/TCE.2024.3367929}, doi = {10.1109/TCE.2024.3367929}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/SaiPGC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/SaiPUCH24, author = {Siva Sai and Manish Prasad and Aniket Upadhyay and Vinay Chamola and Norbert Herencsar}, title = {Confluence of Digital Twins and Metaverse for Consumer Electronics: Real World Case Studies}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {70}, number = {1}, pages = {3194--3203}, year = {2024}, url = {https://doi.org/10.1109/TCE.2024.3351441}, doi = {10.1109/TCE.2024.3351441}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/SaiPUCH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcss/GoyalMHAC24, author = {Adit Goyal and Murari Mandal and Vikas Hassija and Moayad Aloqaily and Vinay Chamola}, title = {Captionomaly: {A} Deep Learning Toolbox for Anomaly Captioning in Social Surveillance Systems}, journal = {{IEEE} Trans. Comput. Soc. Syst.}, volume = {11}, number = {1}, pages = {207--215}, year = {2024}, url = {https://doi.org/10.1109/TCSS.2022.3230262}, doi = {10.1109/TCSS.2022.3230262}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcss/GoyalMHAC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcss/PandiancherySGRS24, author = {Mredulraj S. Pandianchery and V. Sowmya and E. A. Gopalakrishnan and Vinayakumar Ravi and K. P. Soman}, title = {Centralized {CNN-GRU} Model by Federated Learning for {COVID-19} Prediction in India}, journal = {{IEEE} Trans. Comput. Soc. Syst.}, volume = {11}, number = {1}, pages = {1362--1371}, year = {2024}, url = {https://doi.org/10.1109/TCSS.2023.3250656}, doi = {10.1109/TCSS.2023.3250656}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcss/PandiancherySGRS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/AwasthiKS24, author = {Divyanshu Awasthi and Priyank Khare and Vinay Kumar Srivastava}, title = {Internet of medical things-based authentication for an optimized watermarking of encrypted {EEG}}, journal = {J. Supercomput.}, volume = {80}, number = {3}, pages = {2970--3004}, year = {2024}, url = {https://doi.org/10.1007/s11227-023-05566-9}, doi = {10.1007/S11227-023-05566-9}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/AwasthiKS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/ThakurASZC24, author = {Anshul Thakur and Vinayak Abrol and Pulkit Sharma and Tingting Zhu and David A. Clifton}, title = {Incremental Trainable Parameter Selection in Deep Neural Networks}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {35}, number = {5}, pages = {6478--6491}, year = {2024}, url = {https://doi.org/10.1109/TNNLS.2022.3210297}, doi = {10.1109/TNNLS.2022.3210297}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnn/ThakurASZC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/AzzaouiBPAR24, author = {Hanane Azzaoui and Akram Zine Eddine Boukhamla and Pericle Perazzo and Mamoun Alazab and Vinayakumar Ravi}, title = {A Lightweight Cooperative Intrusion Detection System for RPL-based IoT}, journal = {Wirel. Pers. Commun.}, volume = {134}, number = {4}, pages = {2235--2258}, year = {2024}, url = {https://doi.org/10.1007/s11277-024-11009-2}, doi = {10.1007/S11277-024-11009-2}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/AzzaouiBPAR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/MehrabSVSLMBPWG24, author = {Zakaria Mehrab and Logan Stundal and Srinivasan Venkatramanan and Samarth Swarup and Bryan Leroy Lewis and Henning S. Mortveit and Christopher L. Barrett and Abhishek Pandey and Chad R. Wells and Alison P. Galvani and Burton H. Singer and Seyed M. Moghadas and David Leblang and Rita R. Colwell and Madhav V. Marathe}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {A Generalizable Theory-Driven Agent-Based Framework to Study Conflict-Induced Forced Migration}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {23027--23033}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i21.30345}, doi = {10.1609/AAAI.V38I21.30345}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/MehrabSVSLMBPWG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/QiuAMRRSV24, author = {Zirou Qiu and Abhijin Adiga and Madhav V. Marathe and S. S. Ravi and Daniel J. Rosenkrantz and Richard Edwin Stearns and Anil Vullikanti}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {Learning the Topology and Behavior of Discrete Dynamical Systems}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {14722--14730}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i13.29390}, doi = {10.1609/AAAI.V38I13.29390}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/QiuAMRRSV24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/RamachandranMAJ24, author = {Sai Niranjan Ramachandran and Rudrabha Mukhopadhyay and Madhav Agarwal and C. V. Jawahar and Vinay P. Namboodiri}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {Understanding the Generalization of Pretrained Diffusion Models on Out-of-Distribution Data}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {14767--14775}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i13.29395}, doi = {10.1609/AAAI.V38I13.29395}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/RamachandranMAJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/RosenbergARFV24, author = {Harrison Rosenberg and Shimaa Ahmed and Guruprasad V. Ramesh and Kassem Fawaz and Ramya Korlakai Vinayak}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {Limitations of Face Image Generation}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {14838--14846}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i13.29403}, doi = {10.1609/AAAI.V38I13.29403}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/RosenbergARFV24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/AdigaTFMRSVWKBS24, author = {Abhijin Adiga and Yohai Trabelsi and Tanvir Ferdousi and Madhav V. Marathe and S. S. Ravi and Samarth Swarup and Anil Kumar S. Vullikanti and Mandy L. Wilson and Sarit Kraus and Reetwika Basu and Supriya Savalkar and Matthew Yourek and Michael Brady and Kirti Rajagopalan and Jonathan Yoder}, editor = {Mehdi Dastani and Jaime Sim{\~{a}}o Sichman and Natasha Alechina and Virginia Dignum}, title = {Value-based Resource Matching with Fairness Criteria: Application to Agricultural Water Trading}, booktitle = {Proceedings of the 23rd International Conference on Autonomous Agents and Multiagent Systems, {AAMAS} 2024, Auckland, New Zealand, May 6-10, 2024}, pages = {13--21}, publisher = {{ACM}}, year = {2024}, url = {https://dl.acm.org/doi/10.5555/3635637.3662847}, doi = {10.5555/3635637.3662847}, timestamp = {Fri, 03 May 2024 14:27:55 +0200}, biburl = {https://dblp.org/rec/conf/atal/AdigaTFMRSVWKBS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/IslamCMMSV24, author = {Kazi Ashik Islam and Da Qi Chen and Madhav V. Marathe and Henning S. Mortveit and Samarth Swarup and Anil Vullikanti}, editor = {Mehdi Dastani and Jaime Sim{\~{a}}o Sichman and Natasha Alechina and Virginia Dignum}, title = {Strategic Routing and Scheduling for Evacuations}, booktitle = {Proceedings of the 23rd International Conference on Autonomous Agents and Multiagent Systems, {AAMAS} 2024, Auckland, New Zealand, May 6-10, 2024}, pages = {2312--2314}, publisher = {{ACM}}, year = {2024}, url = {https://dl.acm.org/doi/10.5555/3635637.3663144}, doi = {10.5555/3635637.3663144}, timestamp = {Fri, 03 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/atal/IslamCMMSV24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/MehrabSSVLMBPWG24, author = {Zakaria Mehrab and Logan Stundal and Samarth Swarup and Srinivasan Venkatramanan and Bryan Lewis and Henning S. Mortveit and Christopher L. Barrett and Abhishek Pandey and Chad R. Wells and Alison P. Galvani and Burton H. Singer and David Leblang and Rita R. Colwell and Madhav V. Marathe}, editor = {Mehdi Dastani and Jaime Sim{\~{a}}o Sichman and Natasha Alechina and Virginia Dignum}, title = {Network Agency: An Agent-based Model of Forced Migration from Ukraine}, booktitle = {Proceedings of the 23rd International Conference on Autonomous Agents and Multiagent Systems, {AAMAS} 2024, Auckland, New Zealand, May 6-10, 2024}, pages = {1372--1380}, publisher = {{ACM}}, year = {2024}, url = {https://dl.acm.org/doi/10.5555/3635637.3662996}, doi = {10.5555/3635637.3662996}, timestamp = {Mon, 06 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/atal/MehrabSSVLMBPWG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/ThorveMVMS24, author = {Swapna Thorve and Henning S. Mortveit and Anil Vullikanti and Madhav V. Marathe and Samarth Swarup}, editor = {Mehdi Dastani and Jaime Sim{\~{a}}o Sichman and Natasha Alechina and Virginia Dignum}, title = {Assessing Fairness of Residential Dynamic Pricing for Electricity using Active Learning with Agent-based Simulation}, booktitle = {Proceedings of the 23rd International Conference on Autonomous Agents and Multiagent Systems, {AAMAS} 2024, Auckland, New Zealand, May 6-10, 2024}, pages = {1827--1836}, publisher = {{ACM}}, year = {2024}, url = {https://dl.acm.org/doi/10.5555/3635637.3663045}, doi = {10.5555/3635637.3663045}, timestamp = {Fri, 03 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/atal/ThorveMVMS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/ShrivastavaRAR24, author = {Vinay Kumar Shrivastava and Sriganesh Rajendran and Aby Kanneath Abraham and Rajavelsamy Rajadurai}, title = {Enhanced Scheduling Strategy and Energy Efficiency for Extended Reality in 5G Advanced}, booktitle = {21st {IEEE} Consumer Communications {\&} Networking Conference, {CCNC} 2024, Las Vegas, NV, USA, January 6-9, 2024}, pages = {546--549}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CCNC51664.2024.10454664}, doi = {10.1109/CCNC51664.2024.10454664}, timestamp = {Tue, 26 Mar 2024 22:14:36 +0100}, biburl = {https://dblp.org/rec/conf/ccnc/ShrivastavaRAR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccwc/RivasSZKVLRW24, author = {Luis Rivas and Spencer Stevens and Andrew Zitter and Vinayak Khandelwal and Amodini Vardhan and Chinmay Lohani and Chris Rouff and Lanier Watkins}, editor = {Rajashree Paul and Arpita Kundu}, title = {Assuring Safe Navigation and Network Operations of Autonomous Ships}, booktitle = {14th {IEEE} Annual Computing and Communication Workshop and Conference, {CCWC} 2024, Las Vegas, NV, USA, January 8-10, 2024}, pages = {138--143}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CCWC60891.2024.10427933}, doi = {10.1109/CCWC60891.2024.10427933}, timestamp = {Thu, 29 Feb 2024 09:18:18 +0100}, biburl = {https://dblp.org/rec/conf/ccwc/RivasSZKVLRW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/AtchesonKK24, author = {Alex Atcheson and Vinay Koshy and Karrie Karahalios}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {Not What it Used to Be: Characterizing Content and User-base Changes in Newly Created Online Communities}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {738:1--738:12}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642769}, doi = {10.1145/3613904.3642769}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/AtchesonKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LiLHKSSS24, author = {Shanghao Li and Taylor Lane and Alicia Hernandez and Vinayak Kabra and Karthik Singh and Stefany Sit and Nikita Soni}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas}, title = {Towards Understanding Group Collaboration Patterns Around Mobile Augmented-Reality Interfaces for Geospatial Science Data Visualizations}, booktitle = {Extended Abstracts of the {CHI} Conference on Human Factors in Computing Systems, {CHI} {EA} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {336:1--336:7}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613905.3650739}, doi = {10.1145/3613905.3650739}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LiLHKSSS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/comsnets/VatsaGRA0K24, author = {Shivam Vinayak Vatsa and Anusha GP and Rishabh Roy and S. V. R. Anand and Anurag Kumar and Joy Kuri}, title = {Multi-AP Coordination with Centralized Overlay Time-Sliced Scheduling in WiFi Network}, booktitle = {16th International Conference on COMmunication Systems {\&} NETworkS, {COMSNETS} 2024, Bengaluru, India, January 3-7, 2024}, pages = {276--278}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/COMSNETS59351.2024.10427467}, doi = {10.1109/COMSNETS59351.2024.10427467}, timestamp = {Fri, 01 Mar 2024 14:04:41 +0100}, biburl = {https://dblp.org/rec/conf/comsnets/VatsaGRA0K24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edbt/RahutBSSPLHBWPY24, author = {Anirban Rahut and Vinaykumar Bhat and Abhinav Sharma and Yichen Shen and Bartlomiej Pelc and Chi Li and Ahsanul Haque and Yash Botadra and Xi Wang and Michael Percy and Ritwik Yadav and Yoshinori Matsunobu and Alan Liang and Igor Pozgaj and Tobias Asplund and Anatoly Karp and Luqun Lou and Pushap Goyal}, editor = {Letizia Tanca and Qiong Luo and Giuseppe Polese and Loredana Caruccio and Xavier Oriol and Donatella Firmani}, title = {MyRaft: High Availability in MySQL using Raft}, booktitle = {Proceedings 27th International Conference on Extending Database Technology, {EDBT} 2024, Paestum, Italy, March 25 - March 28}, pages = {743--752}, publisher = {OpenProceedings.org}, year = {2024}, url = {https://doi.org/10.48786/edbt.2024.64}, doi = {10.48786/EDBT.2024.64}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/edbt/RahutBSSPLHBWPY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indiaSE/RajbhojSKK24, author = {Asha Rajbhoj and Akanksha Somase and Piyush Kulkarni and Vinay Kulkarni}, editor = {Sujit Kumar Charkrabarti and Raghavan Komondoor and Raveendra Kumar Medicherla and Aseem Rastogi and Sudipto Ghosh}, title = {Accelerating Software Development Using Generative {AI:} ChatGPT Case Study}, booktitle = {Proceedings of the 17th Innovations in Software Engineering Conference, {ISEC} 2024, Bangalore, India, February 22-24, 2024}, pages = {5:1--5:11}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3641399.3641403}, doi = {10.1145/3641399.3641403}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/indiaSE/RajbhojSKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KarSVSFRLLCZCWAZCGGHJJJJKKLMMNRRRRSSS24, author = {Monodeep Kar and Joel Silberman and Swagath Venkataramani and Viji Srinivasan and Bruce M. Fleischer and Joshua Rubin and JohnDavid Lancaster and Sae Kyu Lee and Matthew Cohen and Matthew M. Ziegler and Nianzheng Cao and Sandra Woodward and Ankur Agrawal and Ching Zhou and Prasanth Chatarasi and Thomas Gooding and Michael Guillorn and Bahman Hekmatshoartabari and Philip Jacob and Radhika Jain and Shubham Jain and Jinwook Jung and Kyu{-}Hyoun Kim and Siyu Koswatta and Martin Lutz and Alberto Mannari and Abey Mathew and Indira Nair and Ashish Ranjan and Zhibin Ren and Scot Rider and Thomas Roewer and David L. Satterfield and Marcel Schaal and Sanchari Sen and Gustavo Tellez and Hung Tran and Wei Wang and Vidhi Zalani and Jintao Zhang and Xin Zhang and Vinay Shah and Robert M. Senger and Arvind Kumar and Pong{-}Fei Lu and Leland Chang}, title = {14.1 {A} Software-Assisted Peak Current Regulation Scheme to Improve Power-Limited Inference Performance in a 5nm {AI} SoC}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {254--256}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454301}, doi = {10.1109/ISSCC49657.2024.10454301}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KarSVSFRLLCZCWAZCGGHJJJJKKLMMNRRRRSSS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iui/BiswasSPWO24, author = {Pradipta Biswas and Vinay Krishna Sharma and Anasol Pe{\~{n}}a{-}R{\'{\i}}os and Eryn Whitworth and Pilar Orero}, title = {Intelligent User Interface for Metaverse}, booktitle = {Companion Proceedings of the 29th International Conference on Intelligent User Interfaces, {IUI} 2024, Greenville, SC, USA, March 18-21, 2024}, pages = {116--118}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3640544.3645252}, doi = {10.1145/3640544.3645252}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iui/BiswasSPWO24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/RayapatiRKRR24, author = {Vinay Rayapati and Sanampudi Gopala Krishna Reddy and Gandi Ajay Kumar and Gogireddy Ravi Kiran Reddy and Madhav Rao}, title = {{EBACA:} Efficient Bfloat16-based Activation Function Implementation Using Enhanced {CORDIC} Architecture}, booktitle = {37th International Conference on {VLSI} Design and 23rd International Conference on Embedded Systems, {VLSID} 2024, Kolkata, India, January 6-10, 2024}, pages = {605--610}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/VLSID60093.2024.00107}, doi = {10.1109/VLSID60093.2024.00107}, timestamp = {Mon, 08 Apr 2024 20:48:39 +0200}, biburl = {https://dblp.org/rec/conf/vlsid/RayapatiRKRR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/VermaMLMC24, author = {Vinay Kumar Verma and Nikhil Mehta and Kevin J. Liang and Aakansha Mishra and Lawrence Carin}, title = {Meta-Learned Attribute Self-Interaction Network for Continual and Generalized Zero-Shot Learning}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2024, Waikoloa, HI, USA, January 3-8, 2024}, pages = {2709--2719}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/WACV57701.2024.00270}, doi = {10.1109/WACV57701.2024.00270}, timestamp = {Tue, 14 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wacv/VermaMLMC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/VermaSSG24, author = {Vinay Kumar Verma and Dween Rabius Sanny and Abhishek Singh and Deepak Gupta}, title = {CoD: Coherent Detection of Entities from Images with Multiple Modalities}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2024, Waikoloa, HI, USA, January 3-8, 2024}, pages = {8000--8009}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/WACV57701.2024.00783}, doi = {10.1109/WACV57701.2024.00783}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wacv/VermaSSG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wisec/SabraVSMJ24, author = {Mohd Sabra and Nisha Vinayaga{-}Sureshkanth and Ari Sharma and Anindya Maiti and Murtuza Jadliwala}, editor = {Yongdae Kim and Jong Kim and Farinaz Koushanfar and Kasper Rasmussen}, title = {De-anonymizing {VR} Avatars using Non-VR Motion Side-channels}, booktitle = {Proceedings of the 17th {ACM} Conference on Security and Privacy in Wireless and Mobile Networks, WiSec 2024, Seoul, Republic of Korea, May 27-29, 2024}, pages = {54--65}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3643833.3656135}, doi = {10.1145/3643833.3656135}, timestamp = {Tue, 21 May 2024 15:20:03 +0200}, biburl = {https://dblp.org/rec/conf/wisec/SabraVSMJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/BernardKLBGSS24, author = {Nolwenn Bernard and Ivica Kostric and Weronika Lajewska and Krisztian Balog and Petra Galusc{\'{a}}kov{\'{a}} and Vinay Setty and Martin G. Skj{\ae}veland}, editor = {Tat{-}Seng Chua and Chong{-}Wah Ngo and Roy Ka{-}Wei Lee and Ravi Kumar and Hady W. Lauw}, title = {{PKG} {API:} {A} Tool for Personal Knowledge Graph Management}, booktitle = {Companion Proceedings of the {ACM} on Web Conference 2024, {WWW} 2024, Singapore, Singapore, May 13-17, 2024}, pages = {1051--1054}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3589335.3651247}, doi = {10.1145/3589335.3651247}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/BernardKLBGSS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ifip8-1/2023c, editor = {Tiago Prince Sales and David Aveiro and Henderik A. Proper and Petra Maria Asprion and Alessandro Marcelletti and Andrea Morichetta and Bettina Schneider and Philipp Zech and Vinay Kulkarni and Ruth Breu and Souvik Barat and Geert Poels and Jonas Van Riel and Rodrigo Fernandes Calhau and Dominik Bork and Mark Mulder and Sybren de Kinderen and S{\'{e}}rgio Guerreiro and Cristine Griffo}, title = {Companion Proceedings of the 16th {IFIP} {WG} 8.1 Working Conference on the Practice of Enterprise Modeling and the 13th Enterprise Design and Engineering Working Conference: BES, DTE, FACETE, Tools {\&} Demos, Forum, {EDEN} Doctoral Consortium co-located with PoEM 2023, Vienna, Austria, November 28 - December 01, 2023}, series = {{CEUR} Workshop Proceedings}, volume = {3645}, publisher = {CEUR-WS.org}, year = {2024}, url = {https://ceur-ws.org/Vol-3645}, urn = {urn:nbn:de:0074-3645-7}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip8-1/2023c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-04371, author = {Kazi Ashik Islam and Da Qi Chen and Madhav V. Marathe and Henning S. Mortveit and Samarth Swarup and Anil Vullikanti}, title = {Strategic Routing and Scheduling for Evacuations}, journal = {CoRR}, volume = {abs/2401.04371}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.04371}, doi = {10.48550/ARXIV.2401.04371}, eprinttype = {arXiv}, eprint = {2401.04371}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-04371.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-08124, author = {Joy Kitson and Ian J. Costello and Jiangzhuo Chen and Diego Jim{\'{e}}nez and Stefan Hoops and Henning S. Mortveit and Esteban Meneses and Jae{-}Seung Yeom and Madhav V. Marathe and Abhinav Bhatele}, title = {A Large-Scale Epidemic Simulation Framework for Realistic Social Contact Networks}, journal = {CoRR}, volume = {abs/2401.08124}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.08124}, doi = {10.48550/ARXIV.2401.08124}, eprinttype = {arXiv}, eprint = {2401.08124}, timestamp = {Thu, 01 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-08124.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-10681, author = {Sushi Anna George and Vinay Joseph}, title = {Maximizing Real-Time Video QoE via Bandwidth Sharing under Markovian setting}, journal = {CoRR}, volume = {abs/2401.10681}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.10681}, doi = {10.48550/ARXIV.2401.10681}, eprinttype = {arXiv}, eprint = {2401.10681}, timestamp = {Fri, 02 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-10681.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-01608, author = {Jayalakshmi N. Sabhahit and Sanjana Satish Solanke and Vinay Kumar Jadoun and Hasmat Malik and Fausto Pedro Garc{\'{\i}}a M{\'{a}}rquez and Jes{\'{u}}s Mar{\'{\i}}a Pinar P{\'{e}}rez}, title = {Contingency Analysis of a Grid of Connected EVs for Primary Frequency Control of an Industrial Microgrid Using Efficient Control Scheme}, journal = {CoRR}, volume = {abs/2402.01608}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.01608}, doi = {10.48550/ARXIV.2402.01608}, eprinttype = {arXiv}, eprint = {2402.01608}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-01608.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-06576, author = {Abhijin Adiga and Yohai Trabelsi and Tanvir Ferdousi and Madhav V. Marathe and S. S. Ravi and Samarth Swarup and Anil Kumar S. Vullikanti and Mandy L. Wilson and Sarit Kraus and Reetwika Basu and Supriya Savalkar and Matthew Yourek and Michael Brady and Kirti Rajagopalan and Jonathan Yoder}, title = {Value-based Resource Matching with Fairness Criteria: Application to Agricultural Water Trading}, journal = {CoRR}, volume = {abs/2402.06576}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.06576}, doi = {10.48550/ARXIV.2402.06576}, eprinttype = {arXiv}, eprint = {2402.06576}, timestamp = {Fri, 16 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-06576.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-07540, author = {Nolwenn Bernard and Ivica Kostric and Weronika Lajewska and Krisztian Balog and Petra Galusc{\'{a}}kov{\'{a}} and Vinay Setty and Martin G. Skj{\ae}veland}, title = {{PKG} {API:} {A} Tool for Personal Knowledge Graph Management}, journal = {CoRR}, volume = {abs/2402.07540}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.07540}, doi = {10.48550/ARXIV.2402.07540}, eprinttype = {arXiv}, eprint = {2402.07540}, timestamp = {Mon, 19 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-07540.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-11686, author = {Zirou Qiu and Abhijin Adiga and Madhav V. Marathe and S. S. Ravi and Daniel J. Rosenkrantz and Richard Edwin Stearns and Anil Vullikanti}, title = {Learning the Topology and Behavior of Discrete Dynamical Systems}, journal = {CoRR}, volume = {abs/2402.11686}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.11686}, doi = {10.48550/ARXIV.2402.11686}, eprinttype = {arXiv}, eprint = {2402.11686}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-11686.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-11755, author = {Reshabh K. Sharma and Vinayak Gupta and Dan Grossman}, title = {{SPML:} {A} {DSL} for Defending Language Models Against Prompt Attacks}, journal = {CoRR}, volume = {abs/2402.11755}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.11755}, doi = {10.48550/ARXIV.2402.11755}, eprinttype = {arXiv}, eprint = {2402.11755}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-11755.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-11780, author = {Souvik Kundu and Anthony Sarah and Vinay Joshi and Om Ji Omer and Sreenivas Subramoney}, title = {CiMNet: Towards Joint Optimization for {DNN} Architecture and Configuration for Compute-In-Memory Hardware}, journal = {CoRR}, volume = {abs/2402.11780}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.11780}, doi = {10.48550/ARXIV.2402.11780}, eprinttype = {arXiv}, eprint = {2402.11780}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-11780.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-14558, author = {Ashok Urlana and Charaka Vinayak Kumar and Ajeet Kumar Singh and Bala Mallikarjunarao Garlapati and Srinivasa Rao Chalamala and Rahul Mishra}, title = {LLMs with Industrial Lens: Deciphering the Challenges and Prospects - {A} Survey}, journal = {CoRR}, volume = {abs/2402.14558}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.14558}, doi = {10.48550/ARXIV.2402.14558}, eprinttype = {arXiv}, eprint = {2402.14558}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-14558.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-17424, author = {Abhishek Sebastian and Annis Fathima A and Pragna R and Madhan Kumar S and Yaswanth Kannan G and Vinay Murali}, title = {ViTaL: An Advanced Framework for Automated Plant Disease Identification in Leaf Images Using Vision Transformers and Linear Projection For Feature Reduction}, journal = {CoRR}, volume = {abs/2402.17424}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.17424}, doi = {10.48550/ARXIV.2402.17424}, eprinttype = {arXiv}, eprint = {2402.17424}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-17424.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-03622, author = {Vinayak R. Krishnamurthy and Ergun Akleman}, title = {Medial Parametrization of Arbitrary Planar Compact Domains with Dipoles}, journal = {CoRR}, volume = {abs/2403.03622}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.03622}, doi = {10.48550/ARXIV.2403.03622}, eprinttype = {arXiv}, eprint = {2403.03622}, timestamp = {Wed, 03 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-03622.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-10682, author = {Sarah I. Allec and Xiaonan Lu and Daniel R. Cassar and Xuan T. Nguyen and Vinay I. Hegde and Thiruvillamalai Mahadevan and Miroslava Peterson and Jincheng Du and Brian J. Riley and John D. Vienna and James E. Saal}, title = {Evaluation of GlassNet for physics-informed machine learning of glass stability and glass-forming ability}, journal = {CoRR}, volume = {abs/2403.10682}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.10682}, doi = {10.48550/ARXIV.2403.10682}, eprinttype = {arXiv}, eprint = {2403.10682}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-10682.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-13108, author = {Ehsan Lari and Vinay Chakravarthi Gogineni and Reza Arablouei and Stefan Werner}, title = {Analyzing the Impact of Partial Sharing on the Resilience of Online Federated Learning Against Model Poisoning Attacks}, journal = {CoRR}, volume = {abs/2403.13108}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.13108}, doi = {10.48550/ARXIV.2403.13108}, eprinttype = {arXiv}, eprint = {2403.13108}, timestamp = {Mon, 08 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-13108.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-16592, author = {Ashok Urlana and Aditya Saibewar and Bala Mallikarjunarao Garlapati and Charaka Vinayak Kumar and Ajeet Kumar Singh and Srinivasa Rao Chalamala}, title = {TrustAI at SemEval-2024 Task 8: {A} Comprehensive Analysis of Multi-domain Machine Generated Text Detection Techniques}, journal = {CoRR}, volume = {abs/2403.16592}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.16592}, doi = {10.48550/ARXIV.2403.16592}, eprinttype = {arXiv}, eprint = {2403.16592}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-16592.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-17169, author = {Venktesh V and Abhijit Anand and Avishek Anand and Vinay Setty}, title = {{NUMTEMP:} {A} real-world benchmark to verify claims with statistical and temporal expressions}, journal = {CoRR}, volume = {abs/2403.17169}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.17169}, doi = {10.48550/ARXIV.2403.17169}, eprinttype = {arXiv}, eprint = {2403.17169}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-17169.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-18063, author = {Badri N. Patro and Vinay P. Namboodiri and Vijay Srinivas Agneeswaran}, title = {Spectral Convolutional Transformer: Harmonizing Real vs. Complex Multi-View Spectral Operators for Vision Transformer}, journal = {CoRR}, volume = {abs/2403.18063}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.18063}, doi = {10.48550/ARXIV.2403.18063}, eprinttype = {arXiv}, eprint = {2403.18063}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-18063.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-20317, author = {Anurag Roy and Riddhiman Moulick and Vinay Kumar Verma and Saptarshi Ghosh and Abir Das}, title = {Convolutional Prompting meets Language Models for Continual Learning}, journal = {CoRR}, volume = {abs/2403.20317}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.20317}, doi = {10.48550/ARXIV.2403.20317}, eprinttype = {arXiv}, eprint = {2403.20317}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-20317.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-02587, author = {Abhijit Anand and Venktesh V and Vinay Setty and Avishek Anand}, title = {The Surprising Effectiveness of Rankers Trained on Expanded Queries}, journal = {CoRR}, volume = {abs/2404.02587}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.02587}, doi = {10.48550/ARXIV.2404.02587}, eprinttype = {arXiv}, eprint = {2404.02587}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-02587.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-08628, author = {Leif Azzopardi and Vishwa Vinay}, title = {Accessibility in Information Retrieval}, journal = {CoRR}, volume = {abs/2404.08628}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.08628}, doi = {10.48550/ARXIV.2404.08628}, eprinttype = {arXiv}, eprint = {2404.08628}, timestamp = {Thu, 16 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-08628.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-11757, author = {Mike A. Merrill and Mingtian Tan and Vinayak Gupta and Tom Hartvigsen and Tim Althoff}, title = {Language Models Still Struggle to Zero-shot Reason about Time Series}, journal = {CoRR}, volume = {abs/2404.11757}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.11757}, doi = {10.48550/ARXIV.2404.11757}, eprinttype = {arXiv}, eprint = {2404.11757}, timestamp = {Wed, 22 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-11757.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-11762, author = {Oishee Bintey Hoque and Samarth Swarup and Abhijin Adiga and Sayjro Kossi Nouwakpo and Madhav V. Marathe}, title = {IrrNet: Advancing Irrigation Mapping with Incremental Patch Size Training on Remote Sensing Imagery}, journal = {CoRR}, volume = {abs/2404.11762}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.11762}, doi = {10.48550/ARXIV.2404.11762}, eprinttype = {arXiv}, eprint = {2404.11762}, timestamp = {Wed, 22 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-11762.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-13423, author = {Utsav Singh and Wesley A. Suttle and Brian M. Sadler and Vinay P. Namboodiri and Amrit Singh Bedi}, title = {{PIPER:} Primitive-Informed Preference-based Hierarchical Reinforcement Learning via Hindsight Relabeling}, journal = {CoRR}, volume = {abs/2404.13423}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.13423}, doi = {10.48550/ARXIV.2404.13423}, eprinttype = {arXiv}, eprint = {2404.13423}, timestamp = {Sat, 25 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-13423.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aamas/LiLMSTV23, author = {George Z. Li and Ann Li and Madhav V. Marathe and Aravind Srinivasan and Leonidas Tsepenekas and Anil Vullikanti}, title = {Deploying vaccine distribution sites for improved accessibility and equity to support pandemic response}, journal = {Auton. Agents Multi Agent Syst.}, volume = {37}, number = {2}, pages = {31}, year = {2023}, url = {https://doi.org/10.1007/s10458-023-09614-9}, doi = {10.1007/S10458-023-09614-9}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aamas/LiLMSTV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChamolaHSGDS23, author = {Vinay Chamola and Vikas Hassija and A. Razia Sulthana and Debshishu Ghosh and Divyansh Dhingra and Biplab Sikdar}, title = {A Review of Trustworthy and Explainable Artificial Intelligence {(XAI)}}, journal = {{IEEE} Access}, volume = {11}, pages = {78994--79015}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3294569}, doi = {10.1109/ACCESS.2023.3294569}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChamolaHSGDS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/GourisariaSCPPA23, author = {Mahendra Kumar Gourisaria and Vinayak Singh and Rajdeep Chatterjee and Sanjaya Kumar Panda and Manas Ranjan Pradhan and Biswaranjan Acharya}, title = {PneuNetV1: {A} Deep Neural Network for Classification of Pneumothorax Using {CXR} Images}, journal = {{IEEE} Access}, volume = {11}, pages = {65028--65042}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3289842}, doi = {10.1109/ACCESS.2023.3289842}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/GourisariaSCPPA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HassijaCSCS23, author = {Vikas Hassija and Arjab Chakrabarti and Anushka Singh and Vinay Chamola and Biplab Sikdar}, title = {Unleashing the Potential of Conversational {AI:} Amplifying Chat-GPT's Capabilities and Tackling Technical Hurdles}, journal = {{IEEE} Access}, volume = {11}, pages = {143657--143682}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3339553}, doi = {10.1109/ACCESS.2023.3339553}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HassijaCSCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KumarSMAG23, author = {Sanjeev Kumar and Subhash Chander Sharma and Rajesh Mahadeva and Janaka Alawatugoda and Vinay Gupta}, title = {Robust Cross Directional Controller Design for Paper Machine Spatial Distributed System}, journal = {{IEEE} Access}, volume = {11}, pages = {53103--53119}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3280073}, doi = {10.1109/ACCESS.2023.3280073}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KumarSMAG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ShabbiruddinKJJAFMH23, author = {Shabbiruddin and Neeraj Kanwar and Vinay Kumar Jadoun and N. S. Jayalakshmi and Asyraf Afthanorhan and Nuzhat Fatema and Hasmat Malik and Mohammad Asef Hossaini}, title = {Industry - Challenge to Pro-Environmental Manufacturing of Goods Replacing Single-Use Plastic by Indian Industry: {A} Study Toward Failing Ban on Single-Use Plastic Access}, journal = {{IEEE} Access}, volume = {11}, pages = {77336--77346}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3296097}, doi = {10.1109/ACCESS.2023.3296097}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ShabbiruddinKJJAFMH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YadavARTKAT23, author = {Mano Yadav and Udit Agarwal and Vinay Rishiwal and Sudeep Tanwar and Suman Kumar and Fayez Alqahtani and Amr Tolba}, title = {Exploring Synergy of Blockchain and 6G Network for Industrial Automation}, journal = {{IEEE} Access}, volume = {11}, pages = {137163--137187}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3338861}, doi = {10.1109/ACCESS.2023.3338861}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/YadavARTKAT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aes/SrivastavaAP23, author = {Rajat Srivastava and Vinay Avasthi and R. Krishna Priya}, title = {Deep convolutional neural network for partial discharge monitoring system}, journal = {Adv. Eng. Softw.}, volume = {180}, pages = {103407}, year = {2023}, url = {https://doi.org/10.1016/j.advengsoft.2022.103407}, doi = {10.1016/J.ADVENGSOFT.2022.103407}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aes/SrivastavaAP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/air/SakshiK23, author = {Sakshi and Vinay Kukreja}, title = {A dive in white and grey shades of {ML} and non-ML literature: a multivocal analysis of mathematical expressions}, journal = {Artif. Intell. Rev.}, volume = {56}, number = {7}, pages = {7047--7135}, year = {2023}, url = {https://doi.org/10.1007/s10462-022-10330-1}, doi = {10.1007/S10462-022-10330-1}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/air/SakshiK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/akcej/MadhusudananSS23, author = {Vinay Madhusudanan and G. Sudhakara and Arjit Seth}, title = {Descending endomorphism graphs of groups}, journal = {{AKCE} Int. J. Graphs Comb.}, volume = {20}, number = {2}, pages = {148--155}, year = {2023}, url = {https://doi.org/10.1080/09728600.2023.2234956}, doi = {10.1080/09728600.2023.2234956}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/akcej/MadhusudananSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithms/KanwarCTRB23, author = {Vinay Kanwar and Alicia Cordero and Juan R. Torregrosa and Mithil Rajput and Ramandeep Behl}, title = {A New Third-Order Family of Multiple Root-Findings Based on Exponential Fitted Curve}, journal = {Algorithms}, volume = {16}, number = {3}, pages = {156}, year = {2023}, url = {https://doi.org/10.3390/a16030156}, doi = {10.3390/A16030156}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/algorithms/KanwarCTRB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/anor/YadavSRC23, author = {Vinay Surendra Yadav and Amit Raj Singh and Rakesh D. Raut and Naoufel Cheikhrouhou}, title = {Blockchain drivers to achieve sustainable food security in the Indian context}, journal = {Ann. Oper. Res.}, volume = {327}, number = {1}, pages = {211--249}, year = {2023}, url = {https://doi.org/10.1007/s10479-021-04308-5}, doi = {10.1007/S10479-021-04308-5}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/anor/YadavSRC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/arsmc/BushawCGKLLMMSTWWWL23, author = {Neal Bushaw and Blake Conka and Vinay Gupta and Aidan Kierans and Hudson Lafayette and Craig E. Larson and Kevin McCall and Andriy Mulyar and Christine Sullivan and Scott Taylor and Evan Wainright and Evan Wilson and Guanyu Wu and Sarah Loeb}, title = {Bootstrap percolation via automated conjecturing}, journal = {Ars Math. Contemp.}, volume = {23}, number = {3}, year = {2023}, url = {https://doi.org/10.26493/1855-3974.2340.a61}, doi = {10.26493/1855-3974.2340.A61}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/arsmc/BushawCGKLLMMSTWWWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/artmed/JhaMSJOPKPGVRDW23, author = {Ashish Kumar Jha and Sneha Mithun and Umeshkumar B. Sherkhane and Vinay Jaiswar and Biche Osong and Nilendu Purandare and Sadhana Kannan and Kumar Prabhash and Sudeep Gupta and Ben G. L. Vanneste and Venkatesh Rangarajan and Andre Dekker and Leonard Wee}, title = {Systematic review and meta-analysis of prediction models used in cervical cancer}, journal = {Artif. Intell. Medicine}, volume = {139}, pages = {102549}, year = {2023}, url = {https://doi.org/10.1016/j.artmed.2023.102549}, doi = {10.1016/J.ARTMED.2023.102549}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/artmed/JhaMSJOPKPGVRDW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/CoudertGCPBNSRBBAAAABBNB23, author = {Elisabeth Coudert and Sebastien Gehant and Edouard De Castro and Monica Pozzato and Delphine Baratin and Teresa Batista Neto and Christian J. A. Sigrist and Nicole Redaschi and Alan J. Bridge and Lucila Aimo and Ghislaine Argoud{-}Puy and Andrea H. Auchincloss and Kristian B. Axelsen and Parit Bansal and Marie{-}Claude Blatter and Jerven T. Bolleman and Emmanuel Boutet and Lionel Breuza and Blanca Cabrera Gil and Cristina Casals{-}Casas and Kamal Chikh Echioukh and B{\'{e}}atrice A. Cuche and Anne Estreicher and Maria Livia Famiglietti and Marc Feuermann and Elisabeth Gasteiger and Pascale Gaudet and Vivienne Baillie Gerritsen and Arnaud Gos and Nadine Gruaz{-}Gumowski and Chantal Hulo and Nevila Hyka{-}Nouspikel and Florence Jungo and Arnaud Kerhornou and Philippe Le Mercier and Damien Lieberherr and Patrick Masson and Anne Morgat and Venkatesh Muthukrishnan and Salvo Paesano and Ivo Pedruzzi and Sandrine Pilbout and Lucille Pourcel and Sylvain Poux and Manuela Pruess and Catherine Rivoire and Karin Sonesson and Shyamala Sundaram and Alex Bateman and Maria Jesus Martin and Sandra E. Orchard and Michele Magrane and Shadab Ahmad and Emanuele Alpi and Emily H. Bowler{-}Barnett and Ramona Britto and Hema Bye{-}A{-}Jee and Austra Cukura and Paul Denny and Tunca Dogan and Thankgod Ebenezer and Jun Fan and Penelope Garmiri and Leonardo Jose da Costa Gonzales and Emma Hatton{-}Ellis and Abdulrahman Hussein and Alexandr Ignatchenko and Giuseppe Insana and Rizwan Ishtiaq and Vishal Joshi and Dushyanth Jyothi and Swaathi Kandasamy and Antonia Lock and Aurelien Luciani and Marija Lugaric and Jie Luo and Yvonne Lussi and Alistair MacDougall and F{\'{a}}bio Madeira and Mahdi Mahmoudy and Alok Mishra and Katie Moulang and Andrew Nightingale and Sangya Pundir and Guoying Qi and Shriya Raj and Pedro Raposo and Daniel Rice and Rabie Saidi and Rafael Santos and Elena Speretta and James D. Stephenson and Prabhat Totoo and Edward Turner and Nidhi Tyagi and Preethi Vasudev and Kate Warner and Xavier Watkins and Rossana Zaru and Hermann Zellner and Cathy H. Wu and Cecilia N. Arighi and Leslie Arminski and Chuming Chen and Yongxing Chen and Hongzhan Huang and Kati Laiho and Peter B. McGarvey and Darren A. Natale and Karen Ross and C. R. Vinayaka and Qinghua Wang and Yuqi Wang}, title = {Annotation of biologically relevant ligands in UniProtKB using ChEBI}, journal = {Bioinform.}, volume = {39}, number = {1}, year = {2023}, url = {https://doi.org/10.1093/bioinformatics/btac793}, doi = {10.1093/BIOINFORMATICS/BTAC793}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/CoudertGCPBNSRBBAAAABBNB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/brain/BhatGKSBD23, author = {Priyanka Bhat and Vinay Goyal and S. Senthil Kumaran and Achal Kumar Srivastava and Madhuri Behari and Sada Nand Dwivedi}, title = {Mechanisms of 1 Hz Inhibitory and 5 Hz Excitatory Repetitive Transcranial Magnetic Stimulations in Parkinson's Disease: {A} Functional Magnetic Resonance Imaging Study}, journal = {Brain Connect.}, volume = {13}, number = {4}, pages = {247--263}, year = {2023}, url = {https://doi.org/10.1089/brain.2022.0043}, doi = {10.1089/BRAIN.2022.0043}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/brain/BhatGKSBD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/AgrawalYM0LG23, author = {Rohit Agrawal and Vinay Surendra Yadav and Abhijit Majumdar and Anil Kumar and Sunil Luthra and Jose Arturo Garza{-}Reyes}, title = {Opportunities for disruptive digital technologies to ensure circularity in supply Chain: {A} critical review of drivers, barriers and challenges}, journal = {Comput. Ind. Eng.}, volume = {178}, pages = {109140}, year = {2023}, url = {https://doi.org/10.1016/j.cie.2023.109140}, doi = {10.1016/J.CIE.2023.109140}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candie/AgrawalYM0LG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cg/YildizAKE23, author = {Tolga Yildiz and Ergun Akleman and Vinayak R. Krishnamurthy and Matthew Ebert}, title = {A modular approach for creation of any bi-axial woven structure with congruent tiles}, journal = {Comput. Graph.}, volume = {114}, pages = {357--367}, year = {2023}, url = {https://doi.org/10.1016/j.cag.2023.06.017}, doi = {10.1016/J.CAG.2023.06.017}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cg/YildizAKE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ci/RaviA23, author = {Vinayakumar Ravi and Mamoun Alazab}, title = {Attention-based convolutional neural network deep learning approach for robust malware classification}, journal = {Comput. Intell.}, volume = {39}, number = {1}, pages = {145--168}, year = {2023}, url = {https://doi.org/10.1111/coin.12551}, doi = {10.1111/COIN.12551}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ci/RaviA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/RaviAA23, author = {Vinayakumar Ravi and Vasundhara Acharya and Mamoun Alazab}, title = {A multichannel EfficientNet deep learning-based stacking ensemble approach for lung disease detection using chest X-ray images}, journal = {Clust. Comput.}, volume = {26}, number = {2}, pages = {1181--1203}, year = {2023}, url = {https://doi.org/10.1007/s10586-022-03664-6}, doi = {10.1007/S10586-022-03664-6}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/RaviAA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/VangalaDCKR23, author = {Anusha Vangala and Ashok Kumar Das and Vinay Chamola and Valery Korotaev and Joel J. P. C. Rodrigues}, title = {Security in IoT-enabled smart agriculture: architecture, security solutions and challenges}, journal = {Clust. Comput.}, volume = {26}, number = {2}, pages = {879--902}, year = {2023}, url = {https://doi.org/10.1007/s10586-022-03566-7}, doi = {10.1007/S10586-022-03566-7}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/VangalaDCKR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/AloqailyP23, author = {Moayad Aloqaily and Vinayaka Pandit}, title = {{IEEE} Conference On Blockchain And Cryptocurrency(ICBC'23)}, journal = {{IEEE} Commun. Mag.}, volume = {61}, number = {11}, pages = {6--9}, year = {2023}, url = {https://doi.org/10.1109/MCOM.2023.10328188}, doi = {10.1109/MCOM.2023.10328188}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cm/AloqailyP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/ChagantiBR23, author = {Rajasekhar Chaganti and Bharat Bhushan and Vinayakumar Ravi}, title = {A survey on Blockchain solutions in DDoS attacks mitigation: Techniques, open challenges and future directions}, journal = {Comput. Commun.}, volume = {197}, pages = {96--112}, year = {2023}, url = {https://doi.org/10.1016/j.comcom.2022.10.026}, doi = {10.1016/J.COMCOM.2022.10.026}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/ChagantiBR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/RamaiahCR23, author = {Mangayarkarasi Ramaiah and Vanmathi Chandrasekaran and Vinayakumar Ravi}, title = {A robust malware traffic classifier to combat security breaches in industry 4.0 applications}, journal = {Concurr. Comput. Pract. Exp.}, volume = {35}, number = {23}, year = {2023}, url = {https://doi.org/10.1002/cpe.7772}, doi = {10.1002/CPE.7772}, timestamp = {Sat, 28 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/RamaiahCR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csr/GugueothSSR23, author = {Vinay Gugueoth and Sunitha Safavat and Sachin Shetty and Danda B. Rawat}, title = {A review of IoT security and privacy using decentralized blockchain techniques}, journal = {Comput. Sci. Rev.}, volume = {50}, pages = {100585}, year = {2023}, url = {https://doi.org/10.1016/j.cosrev.2023.100585}, doi = {10.1016/J.COSREV.2023.100585}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csr/GugueothSSR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csse/GautamTATZKJ23, author = {Vinay Gautam and Naresh Kumar Trivedi and Abhineet Anand and Rajeev Tiwari and Atef Zaguia and Deepika Koundal and Sachin Jain}, title = {Early Skin Disease Identification Using eep Neural Network}, journal = {Comput. Syst. Sci. Eng.}, volume = {44}, number = {3}, pages = {2259--2275}, year = {2023}, url = {https://doi.org/10.32604/csse.2023.026358}, doi = {10.32604/CSSE.2023.026358}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csse/GautamTATZKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csse/KollaGSB23, author = {Ramanjaneyulu Kolla and Vinayagasundaram Ganesh and Rajendran Sakthivel and Arumugam Kumar Boobalasenthilraj}, title = {Simulation and Experimental Design of Load Adaptive Braking System on Two Wheeler}, journal = {Comput. Syst. Sci. Eng.}, volume = {45}, number = {3}, pages = {3115--3134}, year = {2023}, url = {https://doi.org/10.32604/csse.2023.033077}, doi = {10.32604/CSSE.2023.033077}, timestamp = {Fri, 20 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/csse/KollaGSB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cssp/AwasthiS23, author = {Divyanshu Awasthi and Vinay Kumar Srivastava}, title = {Hessenberg Decomposition-Based Medical Image Watermarking with Its Performance Comparison by Particle Swarm and {JAYA} Optimization Algorithms for Different Wavelets and Its Authentication Using {AES}}, journal = {Circuits Syst. Signal Process.}, volume = {42}, number = {8}, pages = {4953--4984}, year = {2023}, url = {https://doi.org/10.1007/s00034-023-02344-z}, doi = {10.1007/S00034-023-02344-Z}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cssp/AwasthiS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dcan/AlladiKCY23, author = {Tejasvi Alladi and Varun Kohli and Vinay Chamola and F. Richard Yu}, title = {A deep learning based misbehavior classification scheme for intrusion detection in cooperative intelligent transportation systems}, journal = {Digit. Commun. Networks}, volume = {9}, number = {5}, pages = {1113--1122}, year = {2023}, url = {https://doi.org/10.1016/j.dcan.2022.06.018}, doi = {10.1016/J.DCAN.2022.06.018}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dcan/AlladiKCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/distribledger/AhujaRP23, author = {Aditya Ahuja and Vinay J. Ribeiro and Ranjan Pal}, title = {How Should We Regulate Cryptocurrencies via Consensus?: {A} Strategic Framework for Optimal Legal Transaction Throughput}, journal = {Distributed Ledger Technol. Res. Pract.}, volume = {2}, number = {1}, pages = {4:1--4:20}, year = {2023}, url = {https://doi.org/10.1145/3567593}, doi = {10.1145/3567593}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/distribledger/AhujaRP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/distribledger/BanerjeeBKKRSV23, author = {Prabal Banerjee and Dushyant Behl and Palanivel A. Kodeswaran and Chaitanya Kumar and Sushmita Ruj and Sayandeep Sen and Dhinakaran Vinayagamurthy}, title = {Accelerated Verifiable Fair Digital Exchange}, journal = {Distributed Ledger Technol. Res. Pract.}, volume = {2}, number = {3}, pages = {1--24}, year = {2023}, url = {https://doi.org/10.1145/3596448}, doi = {10.1145/3596448}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/distribledger/BanerjeeBKKRSV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dke/OpdahlTDMSTTT23, author = {Andreas L. Opdahl and Bj{\o}rnar Tessem and Duc{-}Tien Dang{-}Nguyen and Enrico Motta and Vinay Setty and Eivind Throndsen and Are Tverberg and Christoph Trattner}, title = {Trustworthy journalism through {AI}}, journal = {Data Knowl. Eng.}, volume = {146}, pages = {102182}, year = {2023}, url = {https://doi.org/10.1016/j.datak.2023.102182}, doi = {10.1016/J.DATAK.2023.102182}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dke/OpdahlTDMSTTT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/GawdePKKKA23, author = {Shreyas Gawde and Shruti Patil and Satish Kumar and Pooja Kamat and Ketan Kotecha and Ajith Abraham}, title = {Multi-fault diagnosis of Industrial Rotating Machines using Data-driven approach : {A} review of two decades of research}, journal = {Eng. Appl. Artif. Intell.}, volume = {123}, number = {Part {A}}, pages = {106139}, year = {2023}, url = {https://doi.org/10.1016/j.engappai.2023.106139}, doi = {10.1016/J.ENGAPPAI.2023.106139}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/GawdePKKKA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/PalK23, author = {Arunangshu Pal and Vinay Kumar}, title = {AgriDet: Plant Leaf Disease severity classification using agriculture detection framework}, journal = {Eng. Appl. Artif. Intell.}, volume = {119}, pages = {105754}, year = {2023}, url = {https://doi.org/10.1016/j.engappai.2022.105754}, doi = {10.1016/J.ENGAPPAI.2022.105754}, timestamp = {Fri, 17 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eaai/PalK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/PrakashAKDR0GP23, author = {J. Arun Prakash and CR Asswin and K. S. Dharshan Kumar and Avinash Dora and Vinayakumar Ravi and V. Sowmya and E. A. Gopalakrishnan and Soman K. P.}, title = {Transfer learning approach for pediatric pneumonia diagnosis using channel attention deep {CNN} architectures}, journal = {Eng. Appl. Artif. Intell.}, volume = {123}, number = {Part {B}}, pages = {106416}, year = {2023}, url = {https://doi.org/10.1016/j.engappai.2023.106416}, doi = {10.1016/J.ENGAPPAI.2023.106416}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/PrakashAKDR0GP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/PuliyandaSLP23, author = {Anjana Puliyanda and Karthik Srinivasan and Zukui Li and Vinay Prasad}, title = {Benchmarking chemical neural ordinary differential equations to obtain reaction network-constrained kinetic models from spectroscopic data}, journal = {Eng. Appl. Artif. Intell.}, volume = {125}, pages = {106690}, year = {2023}, url = {https://doi.org/10.1016/j.engappai.2023.106690}, doi = {10.1016/J.ENGAPPAI.2023.106690}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/PuliyandaSLP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eait/KukrejaJSKA23, author = {Vinay Kukreja and Anuj Kumar Jain and Amitoj Singh and Rajesh Kumar Kaushal and Arun Aggarwal}, title = {Analysing moderators and critical factors that affect early childhood education with the usage of touchscreen contrivances: {A} hybrid fuzzy {AHP} - fuzzy {TOPSIS} approach}, journal = {Educ. Inf. Technol.}, volume = {28}, number = {5}, pages = {5621--5650}, year = {2023}, url = {https://doi.org/10.1007/s10639-022-11379-9}, doi = {10.1007/S10639-022-11379-9}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eait/KukrejaJSKA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/AthiraJRD23, author = {Kakkara Athira and Raghunathan Nair Jaishanker and Sajeev C. Rajan and Vinay Kumar Dadhwal}, title = {Remote sensing of flowers}, journal = {Ecol. Informatics}, volume = {78}, pages = {102369}, year = {2023}, url = {https://doi.org/10.1016/j.ecoinf.2023.102369}, doi = {10.1016/J.ECOINF.2023.102369}, timestamp = {Mon, 01 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ecoi/AthiraJRD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/ChoudhuryNZSMP23, author = {Burhan U. Choudhury and Rumi Narzari and Md. Zafar and Naseeb Singh and Vinay Kumar Mishra and Mathyam Prabhakar}, title = {Spectral library of crops and discrimination of major vegetables grown in the eastern Himalayan ecosystem: {A} proximal hyperspectral remote sensing approach}, journal = {Ecol. Informatics}, volume = {77}, pages = {102263}, year = {2023}, url = {https://doi.org/10.1016/j.ecoinf.2023.102263}, doi = {10.1016/J.ECOINF.2023.102263}, timestamp = {Mon, 01 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ecoi/ChoudhuryNZSMP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasp/VinaykumarBF23, author = {V. N. Vinaykumar and Jayachandra Ananda Babu and Jaroslav Frnda}, title = {Optimal guidance whale optimization algorithm and hybrid deep learning networks for land use land cover classification}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2023}, number = {1}, pages = {13}, year = {2023}, url = {https://doi.org/10.1186/s13634-023-00980-w}, doi = {10.1186/S13634-023-00980-W}, timestamp = {Tue, 25 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejasp/VinaykumarBF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/JengNJLKCIRE23, author = {Mingyoung Joshua Jeng and Md. Alvir Islam Nobel and Vinayak Jha and David Levy and Dylan Kneidel and Manu Chaudhary and S. M. Ishraq Ul Islam and Muhammad Momin Rahman and Esam El{-}Araby}, title = {Generalized Quantum Convolution for Multidimensional Data}, journal = {Entropy}, volume = {25}, number = {11}, pages = {1503}, year = {2023}, url = {https://doi.org/10.3390/e25111503}, doi = {10.3390/E25111503}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/JengNJLKCIRE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/es/AroraHBYCH23, author = {Anuja Arora and Vikas Hassija and Shivam Bansal and Siddharth Yadav and Vinay Chamola and Amir Hussain}, title = {A novel multimodal online news popularity prediction model based on ensemble learning}, journal = {Expert Syst. J. Knowl. Eng.}, volume = {40}, number = {8}, year = {2023}, url = {https://doi.org/10.1111/exsy.13336}, doi = {10.1111/EXSY.13336}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/es/AroraHBYCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/es/SaxenaSAHCH23, author = {Bhawna Saxena and Vikas Saxena and Nishit Anand and Vikas Hassija and Vinay Chamola and Amir Hussain}, title = {A Hurst-based diffusion model using time series characteristics for influence maximization in social networks}, journal = {Expert Syst. J. Knowl. Eng.}, volume = {40}, number = {9}, year = {2023}, url = {https://doi.org/10.1111/exsy.13375}, doi = {10.1111/EXSY.13375}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/es/SaxenaSAHCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/es/TanejaAV23, author = {Kriti Taneja and Vinay Arora and Karun Verma}, title = {Classifying the heart sound signals using textural-based features for an efficient decision support system}, journal = {Expert Syst. J. Knowl. Eng.}, volume = {40}, number = {6}, year = {2023}, url = {https://doi.org/10.1111/exsy.13246}, doi = {10.1111/EXSY.13246}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/es/TanejaAV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KalitaSK23, author = {Dhruba Jyoti Kalita and Vibhav Prakash Singh and Vinay Kumar}, title = {A novel adaptive optimization framework for {SVM} hyper-parameters tuning in non-stationary environment: {A} case study on intrusion detection system}, journal = {Expert Syst. Appl.}, volume = {213}, number = {Part}, pages = {119189}, year = {2023}, url = {https://doi.org/10.1016/j.eswa.2022.119189}, doi = {10.1016/J.ESWA.2022.119189}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/KalitaSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/RavichandranSRR23, author = {Suja Shree Ravichandran and Kannan Sekar and Vinay Ramanath and Palaniappan Ramu}, title = {Transfer learning in optimization: Interpretable self-organizing maps driven similarity indices to identify candidate source functions}, journal = {Expert Syst. Appl.}, volume = {229}, number = {Part {A}}, pages = {120529}, year = {2023}, url = {https://doi.org/10.1016/j.eswa.2023.120529}, doi = {10.1016/J.ESWA.2023.120529}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/RavichandranSRR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ett/GoyalRN23, author = {Prachi Goyal and Vinay Rishiwal and Ashish Negi}, title = {A comprehensive survey on QoS for video transmission in heterogeneous mobile ad hoc network}, journal = {Trans. Emerg. Telecommun. Technol.}, volume = {34}, number = {7}, year = {2023}, url = {https://doi.org/10.1002/ett.4775}, doi = {10.1002/ETT.4775}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ett/GoyalRN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ew/DikshitASDT23, author = {Srishti Dikshit and Areeba Atiq and Mohammad Shahid and Vinay Dwivedi and Aarushi Thusu}, title = {The Use of Artificial Intelligence to Optimize the Routing of Vehicles and Reduce Traffic Congestion in Urban Areas}, journal = {{EAI} Endorsed Trans. Energy Web}, volume = {10}, year = {2023}, url = {https://doi.org/10.4108/ew.4613}, doi = {10.4108/EW.4613}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ew/DikshitASDT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ew/MekalaBRVDP23, author = {A Mekala and Bhaskar Kamal Baishya and Kamarajugadda Tulasi Vigneswara Rao and Deepak A. Vidhate and Vinayak A. Drave and P. Vishnu Prasanth}, title = {Big Data and Machine Learning Framework for Temperature Forecasting}, journal = {{EAI} Endorsed Trans. Energy Web}, volume = {10}, year = {2023}, url = {https://doi.org/10.4108/ew.4195}, doi = {10.4108/EW.4195}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ew/MekalaBRVDP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fi/BandiAK23, author = {Ajay Bandi and Pydi Venkata Satya Ramesh Adapa and Yudu Eswar Vinay Pratap Kumar Kuchi}, title = {The Power of Generative {AI:} {A} Review of Requirements, Models, Input-Output Formats, Evaluation Metrics, and Challenges}, journal = {Future Internet}, volume = {15}, number = {8}, pages = {260}, year = {2023}, url = {https://doi.org/10.3390/fi15080260}, doi = {10.3390/FI15080260}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fi/BandiAK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iajit/JagtapK23, author = {Vinayak Jagtap and Parag Kulkarni}, title = {A bayesian network-based uncertainty modeling {(BNUM)} to analyze and predict next optimal moves in given game scenario}, journal = {Int. Arab J. Inf. Technol.}, volume = {20}, number = {2}, pages = {199--205}, year = {2023}, url = {https://doi.org/10.34028/iajit/20/2/6}, doi = {10.34028/IAJIT/20/2/6}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iajit/JagtapK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/MohanM23, author = {Vinay Mohan and Aashish Mathur}, title = {Pulse Jamming in {PLC} Over Log-Normal Channel Gain With Bernoulli-Gaussian Additive Noise}, journal = {{IEEE} Commun. Lett.}, volume = {27}, number = {10}, pages = {2603--2607}, year = {2023}, url = {https://doi.org/10.1109/LCOMM.2023.3301063}, doi = {10.1109/LCOMM.2023.3301063}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/MohanM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhpca/BhattacharyaCHM23, author = {Parantapa Bhattacharya and Jiangzhuo Chen and Stefan Hoops and Dustin Machi and Bryan L. Lewis and Srinivasan Venkatramanan and Mandy L. Wilson and Brian Klahn and Aniruddha Adiga and Benjamin Hurt and Joseph Outten and Abhijin Adiga and Andrew S. Warren and Young Yun Baek and Przemyslaw J. Porebski and Achla Marathe and Dawen Xie and Samarth Swarup and Anil Vullikanti and Henning S. Mortveit and Stephen G. Eubank and Christopher L. Barrett and Madhav Vinayak Marathe}, title = {Data-driven scalable pipeline using national agent-based models for real-time pandemic response and decision support}, journal = {Int. J. High Perform. Comput. Appl.}, volume = {37}, number = {1}, pages = {4--27}, year = {2023}, url = {https://doi.org/10.1177/10943420221127034}, doi = {10.1177/10943420221127034}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhpca/BhattacharyaCHM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijics/SalankarAP23, author = {Pritam S. Salankar and Vinay Avasthi and Ashutosh Pasricha}, title = {Lightweight authentication scheme based on modified {EAP} security for CoAP protocol-based IoMT applications}, journal = {Int. J. Inf. Comput. Secur.}, volume = {20}, number = {1/2}, pages = {176--198}, year = {2023}, url = {https://doi.org/10.1504/IJICS.2022.10044087}, doi = {10.1504/IJICS.2022.10044087}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijics/SalankarAP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijin/MageshkumarSPRKR23, author = {Nagappan Mageshkumar and J. Swapna and A. Pandiaraj and Rajakumar Ramalingam and Moez Krichen and Vinayakumar Ravi}, title = {Hybrid cloud storage system with enhanced multilayer cryptosystem for secure deduplication in cloud}, journal = {Int. J. Intell. Networks}, volume = {4}, pages = {301--309}, year = {2023}, url = {https://doi.org/10.1016/j.ijin.2023.11.001}, doi = {10.1016/J.IJIN.2023.11.001}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijin/MageshkumarSPRKR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/SharmaJKSSVE23, author = {Vishal Sharma and Tanya Joon and Vinaykumar Kulkarni and Salim Samanani and Scot H. Simpson and Don Voaklander and Dean Eurich}, title = {Predicting 30-day risk from benzodiazepine/Z-drug dispensations in older adults using administrative data: {A} prognostic machine learning approach}, journal = {Int. J. Medical Informatics}, volume = {178}, pages = {105177}, year = {2023}, url = {https://doi.org/10.1016/j.ijmedinf.2023.105177}, doi = {10.1016/J.IJMEDINF.2023.105177}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/SharmaJKSSVE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmic/KumarA23, author = {Vinayak Kumar and Ruchi Agarwal}, title = {Jaya algorithm-based optimal control for inverted pendulum}, journal = {Int. J. Model. Identif. Control.}, volume = {43}, number = {3}, pages = {179--186}, year = {2023}, url = {https://doi.org/10.1504/IJMIC.2023.133185}, doi = {10.1504/IJMIC.2023.133185}, timestamp = {Mon, 27 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmic/KumarA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmssc/VermaAV23, author = {Vinay Verma and Manju Agarwal and Ashish Verma}, title = {A mathematical model for the novel coronavirus with effect of lockdown}, journal = {Int. J. Model. Simul. Sci. Comput.}, volume = {14}, number = {3}, pages = {2350005:1--2350005:15}, year = {2023}, url = {https://doi.org/10.1142/S1793962323500058}, doi = {10.1142/S1793962323500058}, timestamp = {Thu, 07 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmssc/VermaAV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/ShahCCH23, author = {Jash Shah and Amit Chougule and Vinay Chamola and Amir Hussain}, title = {Novel welch-transform based enhanced spectro-temporal analysis for cognitive microsleep detection using a single electrode {EEG}}, journal = {Neurocomputing}, volume = {549}, pages = {126387}, year = {2023}, url = {https://doi.org/10.1016/j.neucom.2023.126387}, doi = {10.1016/J.NEUCOM.2023.126387}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/ShahCCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imds/SinghGKT23, author = {Shikha Singh and Mohina Gandhi and Arpan Kumar Kar and Vinay Anand Tikkiwal}, title = {How should {B2B} firms create image content for high social media engagement? {A} multimodal analysis}, journal = {Ind. Manag. Data Syst.}, volume = {123}, number = {7}, pages = {1961--1981}, year = {2023}, url = {https://doi.org/10.1108/IMDS-08-2022-0470}, doi = {10.1108/IMDS-08-2022-0470}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/imds/SinghGKT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imst/KaurRA23, author = {Gurinderjeet Kaur and Prashant Singh Rana and Vinay Arora}, title = {Deep learning and machine learning-based early survival predictions of glioblastoma patients using pre-operative three-dimensional brain magnetic resonance imaging modalities}, journal = {Int. J. Imaging Syst. Technol.}, volume = {33}, number = {1}, pages = {340--361}, year = {2023}, url = {https://doi.org/10.1002/ima.22804}, doi = {10.1002/IMA.22804}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/imst/KaurRA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/ZaidiYKCGK23, author = {Ali Zaidi and Rui Yang and Vinay Koshy and Camille Cobb and Indranil Gupta and Karrie Karahalios}, title = {A User-Centric Evaluation of Smart Home Resolution Approaches for Conflicts Between Routines}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {7}, number = {1}, pages = {45:1--45:35}, year = {2023}, url = {https://doi.org/10.1145/3581997}, doi = {10.1145/3581997}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imwut/ZaidiYKCGK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/informaticaSI/SaraswatDS23, author = {Shelesh Krishna Saraswat and Vinay Kumar Deolia and Aasheesh Shukla}, title = {Computational Analysis of Uplink {NOMA} and {OMA} for 5G Applications: An Optimized Network}, journal = {Informatica (Slovenia)}, volume = {47}, number = {3}, year = {2023}, url = {https://doi.org/10.31449/inf.v47i3.4145}, doi = {10.31449/INF.V47I3.4145}, timestamp = {Wed, 18 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/informaticaSI/SaraswatDS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/information/ChagantiSRD23, author = {Rajasekhar Chaganti and Wael Suliman and Vinayakumar Ravi and Amit Dua}, title = {Deep Learning Approach for SDN-Enabled Intrusion Detection System in IoT Networks}, journal = {Inf.}, volume = {14}, number = {1}, pages = {41}, year = {2023}, url = {https://doi.org/10.3390/info14010041}, doi = {10.3390/INFO14010041}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/information/ChagantiSRD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/information/KiranGAARS23, author = {Kiran Kiran and H. L. Gururaj and Meshari Almeshari and Yasser Alzamil and Vinayakumar Ravi and K. V. Sudeesh}, title = {Efficient {SCAN} and Chaotic Map Encryption System for Securing E-Healthcare Images}, journal = {Inf.}, volume = {14}, number = {1}, pages = {47}, year = {2023}, url = {https://doi.org/10.3390/info14010047}, doi = {10.3390/INFO14010047}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/information/KiranGAARS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/information/VelliangiriKRAA23, author = {S. Velliangiri and P. Karthikeyan and Vinayakumar Ravi and Meshari Almeshari and Yasser Alzamil}, title = {Intelligence Amplification-Based Smart Health Record Chain for Enterprise Management System}, journal = {Inf.}, volume = {14}, number = {5}, pages = {284}, year = {2023}, url = {https://doi.org/10.3390/info14050284}, doi = {10.3390/INFO14050284}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/information/VelliangiriKRAA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/GauthierGWHK23, author = {Fran{\c{c}}ois Gauthier and Vinay Chakravarthi Gogineni and Stefan Werner and Yih{-}Fang Huang and Anthony Kuh}, title = {Asynchronous Online Federated Learning With Reduced Communication Requirements}, journal = {{IEEE} Internet Things J.}, volume = {10}, number = {23}, pages = {20761--20775}, year = {2023}, url = {https://doi.org/10.1109/JIOT.2023.3314923}, doi = {10.1109/JIOT.2023.3314923}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/GauthierGWHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/GogineniWHK23, author = {Vinay Chakravarthi Gogineni and Stefan Werner and Yih{-}Fang Huang and Anthony Kuh}, title = {Communication-Efficient Online Federated Learning Strategies for Kernel Regression}, journal = {{IEEE} Internet Things J.}, volume = {10}, number = {5}, pages = {4531--4544}, year = {2023}, url = {https://doi.org/10.1109/JIOT.2022.3218484}, doi = {10.1109/JIOT.2022.3218484}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/GogineniWHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/SaiCCSR23, author = {Siva Sai and Vinay Chamola and Kim{-}Kwang Raymond Choo and Biplab Sikdar and Joel J. P. C. Rodrigues}, title = {Confluence of Blockchain and Artificial Intelligence Technologies for Secure and Scalable Healthcare Solutions: {A} Review}, journal = {{IEEE} Internet Things J.}, volume = {10}, number = {7}, pages = {5873--5897}, year = {2023}, url = {https://doi.org/10.1109/JIOT.2022.3232793}, doi = {10.1109/JIOT.2022.3232793}, timestamp = {Mon, 30 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/SaiCCSR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotm/AlladiAGCY23, author = {Tejasvi Alladi and Ayush Agrawal and Bhavya Gera and Vinay Chamola and F. Richard Yu}, title = {Ambient Intelligence for Securing Intelligent Vehicular Networks: Edge-Enabled Intrusion and Anomaly Detection Strategies}, journal = {{IEEE} Internet Things Mag.}, volume = {6}, number = {1}, pages = {128--132}, year = {2023}, url = {https://doi.org/10.1109/IOTM.001.2200197}, doi = {10.1109/IOTM.001.2200197}, timestamp = {Mon, 20 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotm/AlladiAGCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotm/BansalCHK23, author = {Gaurang Bansal and Vinay Chamola and Amir Hussain and Muhammad Khurram Khan}, title = {Cracking the Anonymous IoT Routing Networks: {A} Deep Learning Approach}, journal = {{IEEE} Internet Things Mag.}, volume = {6}, number = {1}, pages = {120--126}, year = {2023}, url = {https://doi.org/10.1109/IOTM.001.2200194}, doi = {10.1109/IOTM.001.2200194}, timestamp = {Mon, 20 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotm/BansalCHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotm/ChouguleAC23, author = {Amit Chougule and Kartik Agrawal and Vinay Chamola}, title = {{SCAN-GAN:} Generative Adversarial Network Based Synthetic Data Generation Technique for Controller Area Network}, journal = {{IEEE} Internet Things Mag.}, volume = {6}, number = {3}, pages = {126--130}, year = {2023}, url = {https://doi.org/10.1109/IOTM.001.2300013}, doi = {10.1109/IOTM.001.2300013}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotm/ChouguleAC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotm/RaviPA23, author = {Vinayakumar Ravi and Tuan D. Pham and Mamoun Alazab}, title = {Deep Learning-Based Network Intrusion Detection System for Internet of Medical Things}, journal = {{IEEE} Internet Things Mag.}, volume = {6}, number = {2}, pages = {50--54}, year = {2023}, url = {https://doi.org/10.1109/IOTM.001.2300021}, doi = {10.1109/IOTM.001.2300021}, timestamp = {Sat, 17 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotm/RaviPA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isf/SinghNKG23, author = {Vinay Singh and Brijesh Nanavati and Arpan Kumar Kar and Agam Gupta}, title = {How to Maximize Clicks for Display Advertisement in Digital Marketing? {A} Reinforcement Learning Approach}, journal = {Inf. Syst. Frontiers}, volume = {25}, number = {4}, pages = {1621--1638}, year = {2023}, url = {https://doi.org/10.1007/s10796-022-10314-0}, doi = {10.1007/S10796-022-10314-0}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isf/SinghNKG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/istr/ChagantiRP23, author = {Rajasekhar Chaganti and Vinayakumar Ravi and Tuan D. Pham}, title = {A multi-view feature fusion approach for effective malware classification using Deep Learning}, journal = {J. Inf. Secur. Appl.}, volume = {72}, pages = {103402}, year = {2023}, url = {https://doi.org/10.1016/j.jisa.2022.103402}, doi = {10.1016/J.JISA.2022.103402}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/istr/ChagantiRP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/KaurH0SS23, author = {Prabhjot Kaur and Shilpi Harnal and Vinay Gautam and Mukund Pratap Singh and Santar Pal Singh}, title = {A novel transfer deep learning method for detection and classification of plant leaf disease}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {14}, number = {9}, pages = {12407--12424}, year = {2023}, url = {https://doi.org/10.1007/s12652-022-04331-9}, doi = {10.1007/S12652-022-04331-9}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jaihc/KaurH0SS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/SorengK23, author = {Aswini Vinay Soreng and Shyamalendu Kandar}, title = {A verifiable threshold secret image sharing {(SIS)} scheme with combiner verification and cheater identification}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {14}, number = {8}, pages = {10631--10655}, year = {2023}, url = {https://doi.org/10.1007/s12652-022-04339-1}, doi = {10.1007/S12652-022-04339-1}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jaihc/SorengK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcam/SharmaRBK23, author = {Puneet Sharma and Higinio Ramos and Ramandeep Behl and Vinay Kanwar}, title = {A new three-step fixed point iteration scheme with strong convergence and applications}, journal = {J. Comput. Appl. Math.}, volume = {430}, pages = {115242}, year = {2023}, url = {https://doi.org/10.1016/j.cam.2023.115242}, doi = {10.1016/J.CAM.2023.115242}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcam/SharmaRBK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcam/ShuklaS23, author = {Vinay Shukla and Anbhu Swaminathan}, title = {Chain sequences and zeros of polynomials related to a perturbed {RII} type recurrence relation}, journal = {J. Comput. Appl. Math.}, volume = {422}, pages = {114916}, year = {2023}, url = {https://doi.org/10.1016/j.cam.2022.114916}, doi = {10.1016/J.CAM.2022.114916}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcam/ShuklaS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcise/MohantyRCQSK23, author = {Ronak R. Mohanty and Abhijeet Singh Raina and Subhrajyoti Chaudhuri and Francis K. H. Quek and Shinjiro Sueda and Vinayak R. Krishnamurthy}, title = {Spatial Manipulation in Virtual Peripersonal Space: {A} Study of Motor Strategies}, journal = {J. Comput. Inf. Sci. Eng.}, volume = {23}, number = {2}, year = {2023}, url = {https://doi.org/10.1115/1.4054277}, doi = {10.1115/1.4054277}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcise/MohantyRCQSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcise/RainaMBJSK23, author = {Abhijeet Singh Raina and Ronak R. Mohanty and Abhirath Bhuvanesh and Divya Prabha J and Manohar Swaminathan and Vinayak R. Krishnamurthy}, title = {Pointing Tasks Using Spatial Audio on Smartphones for People With Vision Impairments}, journal = {J. Comput. Inf. Sci. Eng.}, volume = {23}, number = {6}, year = {2023}, url = {https://doi.org/10.1115/1.4062426}, doi = {10.1115/1.4062426}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcise/RainaMBJSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcise/RathodKS23, author = {Umang H. Rathod and Vinayak Kulkarni and Ujjwal K. Saha}, title = {Predicting the Optimum Performance of a Vertical-Axis Savonius Wind Rotor With Parametric Modeling Using Artificial Neural Network and Golden Section Method}, journal = {J. Comput. Inf. Sci. Eng.}, volume = {23}, number = {2}, year = {2023}, url = {https://doi.org/10.1115/1.4054691}, doi = {10.1115/1.4054691}, timestamp = {Tue, 30 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcise/RathodKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/BhureSDKA23, author = {Aroondhati Bhure and P. Smriti and Vinay Dhanote and Uppugunduru Anil Kumar and Syed Ershad Ahmed}, title = {A General Methodology to Optimize Flagged Constant Addition}, journal = {J. Circuits Syst. Comput.}, volume = {32}, number = {2}, pages = {2350027:1--2350027:16}, year = {2023}, url = {https://doi.org/10.1142/S0218126623500275}, doi = {10.1142/S0218126623500275}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/BhureSDKA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/NigamTB23, author = {Kaushal Nigam and Vinay Anand Tikkiwal and Mukesh Kumar Bind}, title = {Theoretical Investigation of Dual-Material Stacked Gate Oxide-Source Dielectric Pocket {TFET} Based on Interface Trap Charges and Temperature Variations}, journal = {J. Circuits Syst. Comput.}, volume = {32}, number = {15}, pages = {2350252:1--2350252:31}, year = {2023}, url = {https://doi.org/10.1142/S0218126623502523}, doi = {10.1142/S0218126623502523}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/NigamTB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/JhaSMJPPWRD23, author = {Ashish Kumar Jha and Umeshkumar B. Sherkhane and Sneha Mithun and Vinay Jaiswar and Nilendu Purandare and Kumar Prabhash and Leonard Wee and Venkatesh Rangarajan and Andre Dekker}, title = {External Validation of Robust Radiomic Signature to Predict 2-Year Overall Survival in Non-Small-Cell Lung Cancer}, journal = {J. Digit. Imaging}, volume = {36}, number = {6}, pages = {2519--2531}, year = {2023}, url = {https://doi.org/10.1007/s10278-023-00835-8}, doi = {10.1007/S10278-023-00835-8}, timestamp = {Wed, 01 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jdi/JhaSMJPPWRD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/MithunJSJPDPBRZW23, author = {Sneha Mithun and Ashish Kumar Jha and Umeshkumar B. Sherkhane and Vinay Jaiswar and Nilendu Purandare and Andre Dekker and Sander Puts and I{\~{n}}igo Bermejo and Venkatesh Rangarajan and Catharina M. L. Zegers and Leonard Wee}, title = {Clinical Concept-Based Radiology Reports Classification Pipeline for Lung Carcinoma}, journal = {J. Digit. Imaging}, volume = {36}, number = {3}, pages = {812--826}, year = {2023}, url = {https://doi.org/10.1007/s10278-023-00787-z}, doi = {10.1007/S10278-023-00787-Z}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/MithunJSJPDPBRZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/SundarakumarNVVMBSR23, author = {M. R. Sundarakumar and D. Salangai Nayagi and V. Vinodhini and S. VinayagaPriya and M. Marimuthu and Shajahan Basheer and D. Santhakumar and A. Johny Renoald}, title = {A Heuristic Approach to Improve the Data Processing in Big Data using Enhanced Salp Swarm Algorithm {(ESSA)} and MK-means Algorithm}, journal = {J. Intell. Fuzzy Syst.}, volume = {45}, number = {2}, pages = {2625--2640}, year = {2023}, url = {https://doi.org/10.3233/JIFS-231389}, doi = {10.3233/JIFS-231389}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jifs/SundarakumarNVVMBSR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jirs/VinayakZBPI23, author = {Akhil Vinayak and Muhammad Aizzat Bin Zakaria and K. Baarath and Mohamad Heerwan Peeie and Muhammad Izhar Ishak}, title = {A Novel Triangular-Based Estimation Technique for Bezier Curve Control Points Generation on Autonomous Vehicle Path Planning at the Roundabout Intersection}, journal = {J. Intell. Robotic Syst.}, volume = {109}, number = {4}, pages = {89}, year = {2023}, url = {https://doi.org/10.1007/s10846-023-02006-x}, doi = {10.1007/S10846-023-02006-X}, timestamp = {Wed, 03 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jirs/VinayakZBPI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmlr/AwanR23, author = {Jordan Awan and Vinayak Rao}, title = {Privacy-Aware Rejection Sampling}, journal = {J. Mach. Learn. Res.}, volume = {24}, pages = {74:1--74:32}, year = {2023}, url = {http://jmlr.org/papers/v24/21-0870.html}, timestamp = {Tue, 13 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/AwanR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/JohannesAJSARMH23, author = {Tanne Johannes and Chaurasia Akhilanand and Krois Joachim and Vinayahalingam Shankeeth and Haiat Anahita and Motamedian Saeed Reza and Behnaz Mohammad and Mohammad{-}Rahimi Hossein}, title = {Evaluation of {AI} Model for Cephalometric Landmark Classification {(TG} Dental)}, journal = {J. Medical Syst.}, volume = {47}, number = {1}, pages = {92}, year = {2023}, url = {https://doi.org/10.1007/s10916-023-01977-6}, doi = {10.1007/S10916-023-01977-6}, timestamp = {Wed, 06 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/JohannesAJSARMH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/joi/VinayakRk23, author = {Vinayak and Adarsh Raghuvanshi and Avinash Kshitij}, title = {Signatures of capacity development through research collaborations in artificial intelligence and machine learning}, journal = {J. Informetrics}, volume = {17}, number = {1}, pages = {101358}, year = {2023}, url = {https://doi.org/10.1016/j.joi.2022.101358}, doi = {10.1016/J.JOI.2022.101358}, timestamp = {Mon, 05 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/joi/VinayakRk23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/NivedE23, author = {M. R. Nived and Vinayak Eswaran}, title = {A massively parallel implicit 3D unstructured grid solver for computing turbulent flows on latest distributed memory computational architectures}, journal = {J. Parallel Distributed Comput.}, volume = {182}, pages = {104750}, year = {2023}, url = {https://doi.org/10.1016/j.jpdc.2023.104750}, doi = {10.1016/J.JPDC.2023.104750}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/NivedE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/DixitKR23, author = {Avinash Dixit and Vinay Kulkarni and Vinod V. Reddy}, title = {Cross Frequency Adaptation for Radar-Based Human Activity Recognition Using Few-Shot Learning}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {20}, pages = {1--4}, year = {2023}, url = {https://doi.org/10.1109/LGRS.2023.3321216}, doi = {10.1109/LGRS.2023.3321216}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lgrs/DixitKR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mcs/KumarMK23, author = {Vinay Kumar and S. V. S. S. N. V. G. Krishna Murthy and B. V. Rathish Kumar}, title = {Multi-force effect on fluid flow, heat and mass transfer, and entropy generation in a stratified fluid-saturated porous enclosure}, journal = {Math. Comput. Simul.}, volume = {203}, pages = {328--367}, year = {2023}, url = {https://doi.org/10.1016/j.matcom.2022.06.025}, doi = {10.1016/J.MATCOM.2022.06.025}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mcs/KumarMK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/AwasthiS23, author = {Divyanshu Awasthi and Vinay Kumar Srivastava}, title = {Robust, imperceptible and optimized watermarking of {DICOM} image using Schur decomposition, {LWT-DCT-SVD} and its authentication using {SURF}}, journal = {Multim. Tools Appl.}, volume = {82}, number = {11}, pages = {16555--16589}, year = {2023}, url = {https://doi.org/10.1007/s11042-022-14002-8}, doi = {10.1007/S11042-022-14002-8}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/AwasthiS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/AwasthiS23a, author = {Divyanshu Awasthi and Vinay Kumar Srivastava}, title = {Performance enhancement of {SVD} based dual image watermarking in wavelet domain using {PSO} and {JAYA} optimization and their comparison under hybrid attacks}, journal = {Multim. Tools Appl.}, volume = {82}, number = {23}, pages = {35685--35717}, year = {2023}, url = {https://doi.org/10.1007/s11042-023-14723-4}, doi = {10.1007/S11042-023-14723-4}, timestamp = {Sat, 28 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/AwasthiS23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/BhuyanVR23, author = {Hemanta Kumar Bhuyan and A. Vijayaraj and Vinayakumar Ravi}, title = {Development of secrete images in image transferring system}, journal = {Multim. Tools Appl.}, volume = {82}, number = {5}, pages = {7529--7552}, year = {2023}, url = {https://doi.org/10.1007/s11042-022-13677-3}, doi = {10.1007/S11042-022-13677-3}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/BhuyanVR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/BhuyanVR23a, author = {Hemanta Kumar Bhuyan and A. Vijayaraj and Vinayakumar Ravi}, title = {Diagnosis system for cancer disease using a single setting approach}, journal = {Multim. Tools Appl.}, volume = {82}, number = {30}, pages = {46241--46267}, year = {2023}, url = {https://doi.org/10.1007/s11042-023-15478-8}, doi = {10.1007/S11042-023-15478-8}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/BhuyanVR23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/DhawanGBRPR23, author = {Sachin Dhawan and Rashmi Gupta and Hemanta Kumar Bhuyan and Vinayakumar Ravi and Subhendu Kumar Pani and Arun Kumar Rana}, title = {An efficient steganography technique based on S\({}^{\mbox{2}}\)OA {\&} {DESAE} model}, journal = {Multim. Tools Appl.}, volume = {82}, number = {10}, pages = {14527--14555}, year = {2023}, url = {https://doi.org/10.1007/s11042-022-13798-9}, doi = {10.1007/S11042-022-13798-9}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/DhawanGBRPR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/JawaharAJLRC23, author = {Malathy Jawahar and L. Jani Anbarasi and S. Graceline Jasmine and Febin Daya John Lionel and Vinayakumar Ravi and Prasun Chakrabarti}, title = {Trs-net tropical revolving storm disasters analysis and classification based on multispectral images using 2-d deep convolutional neural network}, journal = {Multim. Tools Appl.}, volume = {82}, number = {30}, pages = {46651--46671}, year = {2023}, url = {https://doi.org/10.1007/s11042-023-15450-6}, doi = {10.1007/S11042-023-15450-6}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/JawaharAJLRC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/KaurRA23, author = {Gurinderjeet Kaur and Prashant Singh Rana and Vinay Arora}, title = {Extracting Radiomic features from pre-operative and segmented {MRI} scans improved survival prognosis of glioblastoma Multiforme patients through machine learning: a retrospective study}, journal = {Multim. Tools Appl.}, volume = {82}, number = {19}, pages = {30003--30038}, year = {2023}, url = {https://doi.org/10.1007/s11042-022-14223-x}, doi = {10.1007/S11042-022-14223-X}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/KaurRA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/KaurSSK23, author = {Amrit Preet Kaur and Amitoj Singh and Rohit Sachdeva and Vinay Kukreja}, title = {Automatic speech recognition systems: {A} survey of discriminative techniques}, journal = {Multim. Tools Appl.}, volume = {82}, number = {9}, pages = {13307--13339}, year = {2023}, url = {https://doi.org/10.1007/s11042-022-13645-x}, doi = {10.1007/S11042-022-13645-X}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/KaurSSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/MauryaSSPD23, author = {Ritesh Maurya and Arti Srivastava and Ashutosh Srivastava and Vinay Kumar Pathak and Malay Kishore Dutta}, title = {Computer aided detection of mercury heavy metal intoxicated fish: an application of machine vision and artificial intelligence technique}, journal = {Multim. Tools Appl.}, volume = {82}, number = {13}, pages = {20517--20536}, year = {2023}, url = {https://doi.org/10.1007/s11042-023-14358-5}, doi = {10.1007/S11042-023-14358-5}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/MauryaSSPD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/PrakashARSS23, author = {J. Arun Prakash and CR Asswin and Vinayakumar Ravi and V. Sowmya and K. P. Soman}, title = {Pediatric pneumonia diagnosis using stacked ensemble learning on multi-model deep {CNN} architectures}, journal = {Multim. Tools Appl.}, volume = {82}, number = {14}, pages = {21311--21351}, year = {2023}, url = {https://doi.org/10.1007/s11042-022-13844-6}, doi = {10.1007/S11042-022-13844-6}, timestamp = {Thu, 29 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/PrakashARSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/SinghKK23, author = {Amitoj Singh and Vinay Kukreja and Munish Kumar}, title = {An empirical study to design an effective agile knowledge management framework}, journal = {Multim. Tools Appl.}, volume = {82}, number = {8}, pages = {12191--12209}, year = {2023}, url = {https://doi.org/10.1007/s11042-022-13871-3}, doi = {10.1007/S11042-022-13871-3}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/SinghKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/MartinAAAABBBBBBBBLBRCCfDDDHNFGGGMG23, author = {Fergal J. Martin and M. Ridwan Amode and Alisha Aneja and Olanrewaju Austine{-}Orimoloye and Andrey G. Azov and If Barnes and Arne Becker and Ruth Bennett and Andrew E. Berry and Jyothish Bhai and Simarpreet Kaur Bhurji and Alexandra Bignell and Sanjay Boddu and Paulo R. B. Lins and Lucy Brooks and Shashank Budhanuru Ramaraju and Mehrnaz Charkhchi and Alexander Cockburn and Luca Da Rin Fioretto and Claire Davidson and Kamalkumar Jayantilal Dodiya and Sarah M. Donaldson and Bilal El Houdaigui and Tamara El Naboulsi and Reham Fatima and Carlos Garc{\'{\i}}a{-}Gir{\'{o}}n and Thiago Augusto Lopes Genez and Gurpreet S. Ghattaoraya and Jose Gonzalez Martinez and Cristi Guijarro and Matthew Hardy and Zoe Hollis and Thibaut Hourlier and Toby Hunt and Mike P. Kay and Vinay Kaykala and Tuan Le and Diana Lemos and Diego Marques{-}Coelho and Jos{\'{e}} Carlos Marug{\'{a}}n and Gabriela Alejandra Merino and Louisse Paola Mirabueno and Aleena Mushtaq and Syed Nakib Hossain and Denye N. Ogeh and Manoj Pandian Sakthivel and Anne Parker and Malcolm Perry and Ivana Pilizota and Irina Prosovetskaia and Jos{\'{e}} G. P{\'{e}}rez{-}Silva and Ahamed Imran Abdul Salam and Nuno Saraiva{-}Agostinho and Helen Schuilenburg and Dan Sheppard and Swati Sinha and Botond Sipos and William Stark and Emily Steed and Ranjit Sukumaran and Dulika Sumathipala and Marie{-}Marthe Suner and Likhitha Surapaneni and Ky{\"{o}}sti Sutinen and Michal Szpak and Francesca Floriana Tricomi and David Urbina{-}G{\'{o}}mez and Andres Veidenberg and Thomas A. Walsh and Brandon Walts and Elizabeth Wass and Natalie L. Willhoft and Jamie Allen and Jorge {\'{A}}lvarez{-}Jarreta and Marc Chakiachvili and Bethany Flint and Stefano Giorgetti and Leanne Haggerty and Garth R Ilsley and Jane E. Loveland and Benjamin Moore and Jonathan M. Mudge and John G. Tate and David Thybert and Stephen J. Trevanion and Andrea Winterbottom and Adam Frankish and Sarah E. Hunt and Magali Ruffier and Fiona Cunningham and Sarah Dyer and Robert D. Finn and Kevin L. Howe and Peter W. Harrison and Andrew D. Yates and Paul Flicek}, title = {Ensembl 2023}, journal = {Nucleic Acids Res.}, volume = {51}, number = {{D1}}, pages = {933--941}, year = {2023}, url = {https://doi.org/10.1093/nar/gkac958}, doi = {10.1093/NAR/GKAC958}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/MartinAAAABBBBBBBBLBRCCfDDDHNFGGGMG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/ChamolaGSHBS23, author = {Vinay Chamola and Adit Goyal and Pranab Sharma and Vikas Hassija and Huynh Thi Thanh Binh and Vikas Saxena}, title = {Artificial intelligence-assisted blockchain-based framework for smart and secure {EMR} management}, journal = {Neural Comput. Appl.}, volume = {35}, number = {31}, pages = {22959--22969}, year = {2023}, url = {https://doi.org/10.1007/s00521-022-07087-7}, doi = {10.1007/S00521-022-07087-7}, timestamp = {Sat, 28 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/ChamolaGSHBS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/PrakashRSS23, author = {J. Arun Prakash and Vinayakumar Ravi and V. Sowmya and K. P. Soman}, title = {Stacked ensemble learning based on deep convolutional neural networks for pediatric pneumonia diagnosis using chest X-ray images}, journal = {Neural Comput. Appl.}, volume = {35}, number = {11}, pages = {8259--8279}, year = {2023}, url = {https://doi.org/10.1007/s00521-022-08099-z}, doi = {10.1007/S00521-022-08099-Z}, timestamp = {Thu, 29 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/PrakashRSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/OudenZMKVF23, author = {Carla den Ouden and Andong Zhou and Vinay Mepani and Gyula Kov{\'{a}}cs and Rufin Vogels and Daniel Feuerriegel}, title = {Stimulus expectations do not modulate visual event-related potentials in probabilistic cueing designs}, journal = {NeuroImage}, volume = {280}, pages = {120347}, year = {2023}, url = {https://doi.org/10.1016/j.neuroimage.2023.120347}, doi = {10.1016/J.NEUROIMAGE.2023.120347}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/OudenZMKVF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ojcs/AroraJYHCS23, author = {Anuja Arora and Arti Jain and Divakar Yadav and Vikas Hassija and Vinay Chamola and Biplab Sikdar}, title = {Next Generation of Multi-Agent Driven Smart City Applications and Research Paradigms}, journal = {{IEEE} Open J. Commun. Soc.}, volume = {4}, pages = {2104--2121}, year = {2023}, url = {https://doi.org/10.1109/OJCOMS.2023.3310528}, doi = {10.1109/OJCOMS.2023.3310528}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ojcs/AroraJYHCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ojcs/MohanMK23, author = {Vinay Mohan and Aashish Mathur and Georges Kaddoum}, title = {Analyzing Physical-Layer Security of {PLC} Systems Using {DCSK:} {A} Copula-Based Approach}, journal = {{IEEE} Open J. Commun. Soc.}, volume = {4}, pages = {104--117}, year = {2023}, url = {https://doi.org/10.1109/OJCOMS.2022.3232753}, doi = {10.1109/OJCOMS.2022.3232753}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ojcs/MohanMK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmmod/DongPPAESDPJKPZ23, author = {Siying Dong and Shiva Shankar P. and Satadru Pan and Anand Ananthabhotla and Dhanabal Ekambaram and Abhinav Sharma and Shobhit Dayal and Nishant Vinaybhai Parikh and Yanqin Jin and Albert Kim and Sushil Patil and Jay Zhuang and Sam Dunster and Akanksha Mahajan and Anirudh Chelluri and Chaitanya Datye and Lucas Vasconcelos Santana and Nitin Garg and Omkar Gawde}, title = {Disaggregating RocksDB: {A} Production Experience}, journal = {Proc. {ACM} Manag. Data}, volume = {1}, number = {2}, pages = {192:1--192:24}, year = {2023}, url = {https://doi.org/10.1145/3589772}, doi = {10.1145/3589772}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmmod/DongPPAESDPJKPZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/BanakarWPKAA23, author = {Vinay Banakar and Kan Wu and Yuvraj Patel and Kimberly Keeton and Andrea C. Arpaci{-}Dusseau and Remzi H. Arpaci{-}Dusseau}, title = {WiscSort: External Sorting For Byte-Addressable Storage}, journal = {Proc. {VLDB} Endow.}, volume = {16}, number = {9}, pages = {2103--2116}, year = {2023}, url = {https://www.vldb.org/pvldb/vol16/p2103-banakar.pdf}, doi = {10.14778/3598581.3598585}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pvldb/BanakarWPKAA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/CanKPZBGBHPMSKS23, author = {Basak Can and Bora Karaoglu and Srikar Potta and Franklin Zhang and Artur Balanuta and Muhammed Faruk Gencel and Uttam Bhat and Johnny Huang and Pooja Patankar and Shruti Makharia and Radhakrishnan Suryanarayanan and Arvind Kandhalu and Vinay Sagar Krishnamurthy Vijaya Shankar}, title = {Beacon Success Rate versus Gateway Density in Sub-GHz Sensor Networks}, journal = {Sensors}, volume = {23}, number = {23}, pages = {9530}, year = {2023}, url = {https://doi.org/10.3390/s23239530}, doi = {10.3390/S23239530}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/CanKPZBGBHPMSKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/FangVRKR23, author = {Shanpu Fang and Vinayak Vijayan and Megan E. Reissman and Allison L. Kinney and Timothy Reissman}, title = {Effects of Walking Speed and Added Mass on Hip Joint Quasi-Stiffness in Healthy Young and Middle-Aged Adults}, journal = {Sensors}, volume = {23}, number = {9}, pages = {4517}, year = {2023}, url = {https://doi.org/10.3390/s23094517}, doi = {10.3390/S23094517}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/FangVRKR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MehtaCSJLJEHN23, author = {Bhavna Mehta and Nachiappan Chockalingam and Thomas Shannon and Nikola Jevtic and Filip Lazic and Vinay Jasani and Nicola Eddison and Aoife Healy and Robert Needham}, title = {Non-Invasive Assessment of Back Surface Topography: Technologies, Techniques and Clinical Utility}, journal = {Sensors}, volume = {23}, number = {20}, pages = {8485}, year = {2023}, url = {https://doi.org/10.3390/s23208485}, doi = {10.3390/S23208485}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/MehtaCSJLJEHN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/NatarajanJVE23, author = {Satheesh Natarajan and Jayaraj Joseph and Balamurugan Vinayagamurthy and Pedro Estrela}, title = {A Lateral Flow Assay for the Detection of Leptospira lipL32 Gene Using {CRISPR} Technology}, journal = {Sensors}, volume = {23}, number = {14}, pages = {6544}, year = {2023}, url = {https://doi.org/10.3390/s23146544}, doi = {10.3390/S23146544}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/NatarajanJVE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/PandeyTSKD23, author = {Vinay Pandey and Eram Taslima and Bhawana Singh and Shyam Kamal and Thach Ngoc Dinh}, title = {Predefined Time Synchronization of Multi-Agent Systems: {A} Passivity Based Analysis}, journal = {Sensors}, volume = {23}, number = {8}, pages = {3865}, year = {2023}, url = {https://doi.org/10.3390/s23083865}, doi = {10.3390/S23083865}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/PandeyTSKD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ShahBSPWV23, author = {Milind Shah and Himanshu Borade and Vedant Sanghavi and Anshuman Purohit and Vishal Ashok Wankhede and Vinay Vakharia}, title = {Enhancing Tool Wear Prediction Accuracy Using Walsh-Hadamard Transform, {DCGAN} and Dragonfly Algorithm-Based Feature Selection}, journal = {Sensors}, volume = {23}, number = {8}, pages = {3833}, year = {2023}, url = {https://doi.org/10.3390/s23083833}, doi = {10.3390/S23083833}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ShahBSPWV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamjo/JaiswalHR23, author = {Prateek Jaiswal and Harsha Honnappa and Vinayak A. Rao}, title = {Bayesian Joint Chance Constrained Optimization: Approximations and Statistical Consistency}, journal = {{SIAM} J. Optim.}, volume = {33}, number = {3}, pages = {1968--1995}, year = {2023}, url = {https://doi.org/10.1137/21m1430005}, doi = {10.1137/21M1430005}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamjo/JaiswalHR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/MohanM23, author = {Vinay Mohan and Aashish Mathur}, title = {Secrecy Analysis of DCSK-Based {PLC} Systems With Multiple Eavesdroppers}, journal = {{IEEE} Syst. J.}, volume = {17}, number = {3}, pages = {3646--3657}, year = {2023}, url = {https://doi.org/10.1109/JSYST.2022.3224982}, doi = {10.1109/JSYST.2022.3224982}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/MohanM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/PraveenSCSG23, author = {Gorla Praveen and Mohammad Saif and Vinay Chamola and Biplab Sikdar and Mohsen Guizani}, title = {Decentralized Renewable Resource Redistribution and Optimization for Beyond 5G Small Cell Base Stations: {A} Machine Learning Approach}, journal = {{IEEE} Syst. J.}, volume = {17}, number = {1}, pages = {988--999}, year = {2023}, url = {https://doi.org/10.1109/JSYST.2022.3141823}, doi = {10.1109/JSYST.2022.3141823}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sj/PraveenSCSG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/SouzaRCCRS23, author = {Alisson Barbosa de Souza and Paulo Antonio Leal Rego and Vinay Chamola and Tiago Carneiro and Paulo Henrique Gon{\c{c}}alves Rocha and Jos{\'{e}} Neuman de Souza}, title = {A Bee Colony-Based Algorithm for Task Offloading in Vehicular Edge Computing}, journal = {{IEEE} Syst. J.}, volume = {17}, number = {3}, pages = {4165--4176}, year = {2023}, url = {https://doi.org/10.1109/JSYST.2023.3237363}, doi = {10.1109/JSYST.2023.3237363}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/SouzaRCCRS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sncs/AshokKRABE23, author = {J. Ashok and N. Anil Kumar and David Winster Praveen Raj and A. Vinay Bhushan and Swathi Edem}, title = {Design and Implementation of Smart Manufacturing Systems Through {AR} for Data-Driven Digital Twin System}, journal = {{SN} Comput. Sci.}, volume = {4}, number = {5}, pages = {580}, year = {2023}, url = {https://doi.org/10.1007/s42979-023-01956-1}, doi = {10.1007/S42979-023-01956-1}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sncs/AshokKRABE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/MRSGSR23, author = {Ganeshkumar M. and Vinayakumar Ravi and V. Sowmya and E. A. Gopalakrishnan and K. P. Soman and M. Rupeshkumar}, title = {Two-stage deep learning model for automate detection and classification of lung diseases}, journal = {Soft Comput.}, volume = {27}, number = {21}, pages = {15563--15579}, year = {2023}, url = {https://doi.org/10.1007/s00500-023-09167-9}, doi = {10.1007/S00500-023-09167-9}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/MRSGSR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/PandeyKD23, author = {Vinay Pandey and Komal and Hasan Din{\c{c}}er}, title = {A review on {TOPSIS} method and its extensions for different applications with recent development}, journal = {Soft Comput.}, volume = {27}, number = {23}, pages = {18011--18039}, year = {2023}, url = {https://doi.org/10.1007/s00500-023-09011-0}, doi = {10.1007/S00500-023-09011-0}, timestamp = {Sat, 28 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/PandeyKD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/SinglaSRK23, author = {Rajat Singla and Gurjinder Singh and Higinio Ramos and Vinay Kanwar}, title = {Development of a Higher-Order {\unicode{119964}}-Stable Block Approach with Symmetric Hybrid Points and an Adaptive Step-Size Strategy for Integrating Differential Systems Efficiently}, journal = {Symmetry}, volume = {15}, number = {9}, pages = {1635}, year = {2023}, url = {https://doi.org/10.3390/sym15091635}, doi = {10.3390/SYM15091635}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/symmetry/SinglaSRK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taasm/VinayavekhinPTA23, author = {Sukrit Vinayavekhin and Robert Phaal and Thananunt Thanamaitreejit and Kimitaka Asatani}, title = {Emerging trends in roadmapping research: {A} bibliometric literature review}, journal = {Technol. Anal. Strateg. Manag.}, volume = {35}, number = {5}, pages = {558--572}, year = {2023}, url = {https://doi.org/10.1080/09537325.2021.1979210}, doi = {10.1080/09537325.2021.1979210}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taasm/VinayavekhinPTA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/BankeySSM23, author = {Vinay Bankey and Shubha Sharma and R. Swaminathan and A. S. Madhukumar}, title = {Physical Layer Security of HAPS-Based Space-Air-Ground-Integrated Network With Hybrid {FSO/RF} Communication}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {59}, number = {4}, pages = {4680--4688}, year = {2023}, url = {https://doi.org/10.1109/TAES.2022.3233339}, doi = {10.1109/TAES.2022.3233339}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taes/BankeySSM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/GogineniSAMW23, author = {Vinay Chakravarthi Gogineni and Ramesh Sambangi and Daney Alex and Subrahmanyam Mula and Stefan Werner}, title = {Algorithm and Architecture Design of Random Fourier Features-Based Kernel Adaptive Filters}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {70}, number = {2}, pages = {833--845}, year = {2023}, url = {https://doi.org/10.1109/TCSI.2022.3227727}, doi = {10.1109/TCSI.2022.3227727}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasI/GogineniSAMW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcss/ElhenceGCS23, author = {Anubhav Elhence and Adit Goyal and Vinay Chamola and Biplab Sikdar}, title = {A Blockchain and ML-Based Framework for Fast and Cost-Effective Health Insurance Industry Operations}, journal = {{IEEE} Trans. Comput. Soc. Syst.}, volume = {10}, number = {4}, pages = {1642--1653}, year = {2023}, url = {https://doi.org/10.1109/TCSS.2022.3219256}, doi = {10.1109/TCSS.2022.3219256}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcss/ElhenceGCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcss/RaviPA23, author = {Vinayakumar Ravi and Tuan D. Pham and Mamoun Alazab}, title = {Attention-Based Multidimensional Deep Learning Approach for Cross-Architecture IoMT Malware Detection and Classification in Healthcare Cyber-Physical Systems}, journal = {{IEEE} Trans. Comput. Soc. Syst.}, volume = {10}, number = {4}, pages = {1597--1606}, year = {2023}, url = {https://doi.org/10.1109/TCSS.2022.3198123}, doi = {10.1109/TCSS.2022.3198123}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcss/RaviPA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/telsys/KaurGK23, author = {Preetjot Kaur and Roopali Garg and Vinay Kukreja}, title = {Energy-efficiency schemes for base stations in 5G heterogeneous networks: a systematic literature review}, journal = {Telecommun. Syst.}, volume = {84}, number = {1}, pages = {115--151}, year = {2023}, url = {https://doi.org/10.1007/s11235-023-01037-x}, doi = {10.1007/S11235-023-01037-X}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/telsys/KaurGK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tem/AcharyaRPC23, author = {Vasundhara Acharya and Vinayakumar Ravi and Tuan D. Pham and Chinmay Chakraborty}, title = {Peripheral Blood Smear Analysis Using Automated Computer-Aided Diagnosis System to Identify Acute Myeloid Leukemia}, journal = {{IEEE} Trans. Engineering Management}, volume = {70}, number = {8}, pages = {2760--2773}, year = {2023}, url = {https://doi.org/10.1109/TEM.2021.3103549}, doi = {10.1109/TEM.2021.3103549}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tem/AcharyaRPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tem/ArunachalamRAP23, author = {Ajay Arunachalam and Vinayakumar Ravi and Vasundhara Acharya and Tuan D. Pham}, title = {Toward Data-Model-Agnostic Autonomous Machine-Generated Data Labeling and Annotation Platform: {COVID-19} Autoannotation Use Case}, journal = {{IEEE} Trans. Engineering Management}, volume = {70}, number = {8}, pages = {2695--2706}, year = {2023}, url = {https://doi.org/10.1109/TEM.2021.3094544}, doi = {10.1109/TEM.2021.3094544}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tem/ArunachalamRAP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tem/MR0AP23, author = {Ganeshkumar M. and Vinayakumar Ravi and V. Sowmya and Gopalakrishnan E. A and Soman K. P}, title = {Explainable Deep Learning-Based Approach for Multilabel Classification of Electrocardiogram}, journal = {{IEEE} Trans. Engineering Management}, volume = {70}, number = {8}, pages = {2787--2799}, year = {2023}, url = {https://doi.org/10.1109/TEM.2021.3104751}, doi = {10.1109/TEM.2021.3104751}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tem/MR0AP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tem/RamzanARKAG23, author = {Sadia Ramzan and Aqsa Aqdus and Vinayakumar Ravi and Deepika Koundal and Rashid Amin and Mohammed A. Al Ghamdi}, title = {Healthcare Applications Using Blockchain Technology: Motivations and Challenges}, journal = {{IEEE} Trans. Engineering Management}, volume = {70}, number = {8}, pages = {2874--2890}, year = {2023}, url = {https://doi.org/10.1109/TEM.2022.3189734}, doi = {10.1109/TEM.2022.3189734}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tem/RamzanARKAG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tem/RaviASAS23, author = {Vinayakumar Ravi and Mamoun Alazab and Sriram Srinivasan and Ajay Arunachalam and K. P. Soman}, title = {Adversarial Defense: DGA-Based Botnets and {DNS} Homographs Detection Through Integrated Deep Learning}, journal = {{IEEE} Trans. Engineering Management}, volume = {70}, number = {1}, pages = {249--266}, year = {2023}, url = {https://doi.org/10.1109/TEM.2021.3059664}, doi = {10.1109/TEM.2021.3059664}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tem/RaviASAS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/PetkarK23, author = {Sagar Gajanan Petkar and Thippiripati Vinay Kumar}, title = {A Novel Duty-Controlled {DTC} of a Surface {PMSM} Drive With Reduced Torque and Flux Ripples}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {70}, number = {4}, pages = {3373--3383}, year = {2023}, url = {https://doi.org/10.1109/TIE.2022.3181405}, doi = {10.1109/TIE.2022.3181405}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/PetkarK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/KambleGK23, author = {Ashwin Kamble and Pradnya H. Ghare and Vinay Kumar}, title = {Deep-Learning-Based {BCI} for Automatic Imagined Speech Recognition Using {SPWVD}}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {72}, pages = {1--10}, year = {2023}, url = {https://doi.org/10.1109/TIM.2022.3216673}, doi = {10.1109/TIM.2022.3216673}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/KambleGK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/KambleGK23a, author = {Ashwin Kamble and Pradnya H. Ghare and Vinay Kumar}, title = {Optimized Rational Dilation Wavelet Transform for Automatic Imagined Speech Recognition}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {72}, pages = {1--10}, year = {2023}, url = {https://doi.org/10.1109/TIM.2023.3241973}, doi = {10.1109/TIM.2023.3241973}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/KambleGK23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/KambleGKKK23, author = {Ashwin Kamble and Pradnya H. Ghare and Vinay Kumar and Ashwin Kothari and Avinash G. Keskar}, title = {Spectral Analysis of {EEG} Signals for Automatic Imagined Speech Recognition}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {72}, pages = {1--9}, year = {2023}, url = {https://doi.org/10.1109/TIM.2023.3300473}, doi = {10.1109/TIM.2023.3300473}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/KambleGKKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/BalajiTCBG23, author = {Aswin Balaji and Utkarsh Tripathi and Vinay Chamola and Abderrahim Benslimane and Mohsen Guizani}, title = {Toward Safer Vehicular Transit: Implementing Deep Learning on Single Channel {EEG} Systems for Microsleep Detection}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {24}, number = {1}, pages = {1052--1061}, year = {2023}, url = {https://doi.org/10.1109/TITS.2021.3125126}, doi = {10.1109/TITS.2021.3125126}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tits/BalajiTCBG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/ChouguleKCY23, author = {Amit Chougule and Varun Kohli and Vinay Chamola and Fei Richard Yu}, title = {Multibranch Reconstruction Error (MbRE) Intrusion Detection Architecture for Intelligent Edge-Based Policing in Vehicular Ad-Hoc Networks}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {24}, number = {11}, pages = {13068--13077}, year = {2023}, url = {https://doi.org/10.1109/TITS.2022.3201548}, doi = {10.1109/TITS.2022.3201548}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tits/ChouguleKCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/ChouguleSCK23, author = {Amit Chougule and Jash Shah and Vinay Chamola and Salil S. Kanhere}, title = {Enabling Safe {ITS:} EEG-Based Microsleep Detection in VANETs}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {24}, number = {12}, pages = {15773--15783}, year = {2023}, url = {https://doi.org/10.1109/TITS.2022.3230259}, doi = {10.1109/TITS.2022.3230259}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tits/ChouguleSCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/JengILRCNKJBMME23, author = {Mingyoung Joshua Jeng and S. M. Ishraq Ul Islam and David Levy and Andrew E. Riachi and Manu Chaudhary and Md. Alvir Islam Nobel and Dylan Kneidel and Vinayak Jha and Jack G. Bauer and Anshul Maurya and Naveed Mahmud and Esam El{-}Araby}, title = {Improving quantum-to-classical data decoding using optimized quantum wavelet transform}, journal = {J. Supercomput.}, volume = {79}, number = {18}, pages = {20532--20561}, year = {2023}, url = {https://doi.org/10.1007/s11227-023-05433-7}, doi = {10.1007/S11227-023-05433-7}, timestamp = {Fri, 10 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/JengILRCNKJBMME23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/KalitaSK23, author = {Dhruba Jyoti Kalita and Vibhav Prakash Singh and Vinay Kumar}, title = {A lightweight knowledge-based {PSO} for {SVM} hyper-parameters tuning in a dynamic environment}, journal = {J. Supercomput.}, volume = {79}, number = {16}, pages = {18777--18799}, year = {2023}, url = {https://doi.org/10.1007/s11227-023-05385-y}, doi = {10.1007/S11227-023-05385-Y}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/KalitaSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/TiwariS23, author = {Anurag Tiwari and Vinay Kumar Srivastava}, title = {Novel schemes for the improvement of lifting wavelet transform-based image watermarking using Schur decomposition}, journal = {J. Supercomput.}, volume = {79}, number = {12}, pages = {13142--13179}, year = {2023}, url = {https://doi.org/10.1007/s11227-023-05167-6}, doi = {10.1007/S11227-023-05167-6}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/TiwariS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnsm/PraveenKCG23, author = {Gorla Praveen and V. Keerthivasan and Vinay Chamola and Mohsen Guizani}, title = {A Novel Framework of Federated and Distributed Machine Learning for Resource Provisioning in 5G and Beyond Using Mobile-Edge {SCBS}}, journal = {{IEEE} Trans. Netw. Serv. Manag.}, volume = {20}, number = {2}, pages = {985--994}, year = {2023}, url = {https://doi.org/10.1109/TNSM.2022.3208522}, doi = {10.1109/TNSM.2022.3208522}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnsm/PraveenKCG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tr/GauravKS23, author = {Kumar Gaurav and Vinay Kumar and Binod Kumar Singh}, title = {Dependability Analysis of a System Using State-Space Modeling Techniques: {A} Systematic Review}, journal = {{IEEE} Trans. Reliab.}, volume = {72}, number = {4}, pages = {1340--1354}, year = {2023}, url = {https://doi.org/10.1109/TR.2023.3289920}, doi = {10.1109/TR.2023.3289920}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tr/GauravKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/KimFKAH23, author = {Jeeseop Kim and Randall T. Fawcett and Vinay R. Kamidi and Aaron D. Ames and Kaveh Akbari Hamed}, title = {Layered Control for Cooperative Locomotion of Two Quadrupedal Robots: Centralized and Distributed Approaches}, journal = {{IEEE} Trans. Robotics}, volume = {39}, number = {6}, pages = {4728--4748}, year = {2023}, url = {https://doi.org/10.1109/TRO.2023.3319896}, doi = {10.1109/TRO.2023.3319896}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/trob/KimFKAH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsipn/GauthierGWHK23, author = {Fran{\c{c}}ois Gauthier and Vinay Chakravarthi Gogineni and Stefan Werner and Yih{-}Fang Huang and Anthony Kuh}, title = {Personalized Graph Federated Learning With Differential Privacy}, journal = {{IEEE} Trans. Signal Inf. Process. over Networks}, volume = {9}, pages = {736--749}, year = {2023}, url = {https://doi.org/10.1109/TSIPN.2023.3325963}, doi = {10.1109/TSIPN.2023.3325963}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsipn/GauthierGWHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsipn/GogineniMVW23, author = {Vinay Chakravarthi Gogineni and Ashkan Moradi and Naveen K. D. Venkategowda and Stefan Werner}, title = {Communication-Efficient and Privacy-Aware Distributed Learning}, journal = {{IEEE} Trans. Signal Inf. Process. over Networks}, volume = {9}, pages = {705--720}, year = {2023}, url = {https://doi.org/10.1109/TSIPN.2023.3322783}, doi = {10.1109/TSIPN.2023.3322783}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsipn/GogineniMVW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/RainaACY23, author = {Kushagra Raina and Tejasvi Alladi and Vinay Chamola and Fei Richard Yu}, title = {Detecting {UAV} Presence Using Convolution Feature Vectors in Light Gradient Boosting Machine}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {72}, number = {4}, pages = {4332--4341}, year = {2023}, url = {https://doi.org/10.1109/TVT.2022.3227192}, doi = {10.1109/TVT.2022.3227192}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/RainaACY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/urban/BrowerRIMHVMZGS23, author = {Anna E. Brower and Balaji Ramesh and Kazi Ashik Islam and Henning S. Mortveit and Stefan Hoops and Anil Vullikanti and Madhav V. Marathe and Benjamin F. Zaitchik and Julia M. Gohlke and Samarth Swarup}, title = {Augmenting the Social Vulnerability Index using an agent-based simulation of Hurricane Harvey}, journal = {Comput. Environ. Urban Syst.}, volume = {105}, pages = {102020}, year = {2023}, url = {https://doi.org/10.1016/j.compenvurbsys.2023.102020}, doi = {10.1016/J.COMPENVURBSYS.2023.102020}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/urban/BrowerRIMHVMZGS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/virology/KalePTS23, author = {Aparna Sunil Kale and Vinay Pandya and Fabio Di Troia and Mark Stamp}, title = {Malware classification with Word2Vec, HMM2Vec, BERT, and ELMo}, journal = {J. Comput. Virol. Hacking Tech.}, volume = {19}, number = {1}, pages = {1--16}, year = {2023}, url = {https://doi.org/10.1007/s11416-022-00424-3}, doi = {10.1007/S11416-022-00424-3}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/virology/KalePTS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wdl/JhaMSG23, author = {Ratan Kumar Jha and Shesh Mishra and Vinay Shankar Prasad Sinha and Shantanu Ganguly}, title = {Open Educational Resources and Creative Commons Progress in Developing Countries: a study of Indian higher educational institutes}, journal = {World Digit. Libr.}, volume = {16}, number = {1}, pages = {49--62}, year = {2023}, url = {https://doi.org/10.18329/09757597/2023/16105}, doi = {10.18329/09757597/2023/16105}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wdl/JhaMSG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/RanjanA23, author = {Rakesh Ranjan and Vinay Avasthi}, title = {Edge Detection Using Guided Sobel Image Filtering}, journal = {Wirel. Pers. Commun.}, volume = {132}, number = {1}, pages = {651--677}, year = {2023}, url = {https://doi.org/10.1007/s11277-023-10628-5}, doi = {10.1007/S11277-023-10628-5}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/RanjanA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/AdigaK0HPVLM23, author = {Aniruddha Adiga and Gursharn Kaur and Lijing Wang and Benjamin Hurt and Przemyslaw J. Porebski and Srinivasan Venkatramanan and Bryan L. Lewis and Madhav V. Marathe}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {Phase-Informed Bayesian Ensemble Models Improve Performance of {COVID-19} Forecasts}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {15647--15653}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i13.26855}, doi = {10.1609/AAAI.V37I13.26855}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/AdigaK0HPVLM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/QiuCMRRSV23, author = {Zirou Qiu and Chen Chen and Madhav V. Marathe and S. S. Ravi and Daniel J. Rosenkrantz and Richard Edwin Stearns and Anil Vullikanti}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {Networked Anti-coordination Games Meet Graphical Dynamical Systems: Equilibria and Convergence}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {11663--11671}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i10.26378}, doi = {10.1609/AAAI.V37I10.26378}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aaai/QiuCMRRSV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aagpw/RahimiSTVBM0M23, author = {Seyedahmad Rahimi and Jason Brent Smith and Erin J. K. Truesdell and Ashvala Vinay and Kristy Elizabeth Boyer and Brian Magerko and Jason Freeman and Tom McKlin}, editor = {Victoria Abou Khalil}, title = {Validity and Fairness of an Automated Assessment of Creativity in Computational Music Remixing}, booktitle = {Proceedings of the Workshop on Automated Assessment and Guidance of Project Work co-located with 24th International Conference on Artificial Intelligence in Education {(AIED} 2023), Tokyo, Japan, July 3-7, 2023}, series = {{CEUR} Workshop Proceedings}, volume = {3572}, pages = {36--44}, publisher = {CEUR-WS.org}, year = {2023}, url = {https://ceur-ws.org/Vol-3572/paper5.pdf}, timestamp = {Tue, 02 Jan 2024 17:44:44 +0100}, biburl = {https://dblp.org/rec/conf/aagpw/RahimiSTVBM0M23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/air/RaoP23, author = {Aishwarya Vinayak Rao and Harish J. Palanthandalam{-}Madapusi}, title = {Intermittent Control: Stability and performance analysis of intermittent threshold functions for unstable systems}, booktitle = {Proceedings of the 2023 6th International Conference on Advances in Robotics, {AIR} 2023, Ropar, India, July 5-8, 2023}, pages = {60:1--60:6}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3610419.3610479}, doi = {10.1145/3610419.3610479}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/air/RaoP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/alt/AshtianiPU23, author = {Hassan Ashtiani and Vinayak Pathak and Ruth Urner}, editor = {Shipra Agrawal and Francesco Orabona}, title = {Adversarially Robust Learning with Tolerance}, booktitle = {International Conference on Algorithmic Learning Theory, February 20-23, 2023, Singapore}, series = {Proceedings of Machine Learning Research}, volume = {201}, pages = {115--135}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v201/ashtiani23a.html}, timestamp = {Wed, 15 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/alt/AshtianiPU23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/LariGAW23, author = {Ehsan Lari and Vinay Chakravarthi Gogineni and Reza Arablouei and Stefan Werner}, title = {Continual Local Updates for Federated Learning with Enhanced Robustness to Link Noise}, booktitle = {Asia Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2023, Taipei, Taiwan, October 31 - Nov. 3, 2023}, pages = {1199--1203}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/APSIPAASC58517.2023.10317446}, doi = {10.1109/APSIPAASC58517.2023.10317446}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/LariGAW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/CastellanaALAMM23, author = {Vito Giovanni Castellana and Nicolas Bohm Agostini and Ankur Limaye and Vinay Amatya and Marco Minutoli and Joseph B. Manzano and Antonino Tumeo and Serena Curzel and Michele Fiorito and Fabrizio Ferrandi}, editor = {Atsushi Takahashi}, title = {Towards On-Chip Learning for Low Latency Reasoning with End-to-End Synthesis}, booktitle = {Proceedings of the 28th Asia and South Pacific Design Automation Conference, {ASPDAC} 2023, Tokyo, Japan, January 16-19, 2023}, pages = {632--638}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3566097.3568360}, doi = {10.1145/3566097.3568360}, timestamp = {Sat, 02 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/CastellanaALAMM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/IslamCMMSV23, author = {Kazi Ashik Islam and Da Qi Chen and Madhav V. Marathe and Henning S. Mortveit and Samarth Swarup and Anil Vullikanti}, editor = {Noa Agmon and Bo An and Alessandro Ricci and William Yeoh}, title = {Towards Optimal and Scalable Evacuation Planning Using Data-driven Agent Based Models}, booktitle = {Proceedings of the 2023 International Conference on Autonomous Agents and Multiagent Systems, {AAMAS} 2023, London, United Kingdom, 29 May 2023 - 2 June 2023}, pages = {2397--2399}, publisher = {{ACM}}, year = {2023}, url = {https://dl.acm.org/doi/10.5555/3545946.3598946}, doi = {10.5555/3545946.3598946}, timestamp = {Tue, 23 May 2023 14:27:14 +0200}, biburl = {https://dblp.org/rec/conf/atal/IslamCMMSV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/QiuYCMRRSV23, author = {Zirou Qiu and Andrew Yuan and Chen Chen and Madhav V. Marathe and S. S. Ravi and Daniel J. Rosenkrantz and Richard Edwin Stearns and Anil Vullikanti}, editor = {Noa Agmon and Bo An and Alessandro Ricci and William Yeoh}, title = {Assigning Agents to Increase Network-Based Neighborhood Diversity}, booktitle = {Proceedings of the 2023 International Conference on Autonomous Agents and Multiagent Systems, {AAMAS} 2023, London, United Kingdom, 29 May 2023 - 2 June 2023}, pages = {600--608}, publisher = {{ACM}}, year = {2023}, url = {https://dl.acm.org/doi/10.5555/3545946.3598690}, doi = {10.5555/3545946.3598690}, timestamp = {Tue, 22 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/atal/QiuYCMRRSV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bionlp/ArannilDR23, author = {Vinayak Arannil and Tomal Deb and Atanu Roy}, editor = {Dina Demner{-}Fushman and Sophia Ananiadou and Kevin Cohen}, title = {{ADEQA:} {A} Question Answer based approach for joint ADE-Suspect Extraction using Sequence-To-Sequence Transformers}, booktitle = {The 22nd Workshop on Biomedical Natural Language Processing and BioNLP Shared Tasks, BioNLP@ACL 2023, Toronto, Canada, 13 July 2023}, pages = {206--214}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.bionlp-1.17}, doi = {10.18653/V1/2023.BIONLP-1.17}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bionlp/ArannilDR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/MukherjeePN23, author = {Avideep Mukherjee and Badri N. Patro and Vinay P. Namboodiri}, title = {Attentive Contractive Flow with Lipschitz Constrained Self-Attention}, booktitle = {34th British Machine Vision Conference 2023, {BMVC} 2023, Aberdeen, UK, November 20-24, 2023}, pages = {276--281}, publisher = {{BMVA} Press}, year = {2023}, url = {http://proceedings.bmvc2023.org/276/}, timestamp = {Mon, 11 Mar 2024 15:42:29 +0100}, biburl = {https://dblp.org/rec/conf/bmvc/MukherjeePN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccwc/SinghMSTADMRP23, author = {Vinay Singh and Aditya Manay and Raj Prakash Singh and Shaurya Tomer and Chandan A and Narayana Darapaneni and Vishnu Manoj and Aashi Rastogi and Anwesh Reddy Paduri}, title = {Medical Radiology Image processing for Pneumonia Detection Using Convolutional Neural Network}, booktitle = {13th {IEEE} Annual Computing and Communication Workshop and Conference, {CCWC} 2023, Las Vegas, NV, USA, March 8-11, 2023}, pages = {1058--1064}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CCWC57344.2023.10099292}, doi = {10.1109/CCWC57344.2023.10099292}, timestamp = {Wed, 26 Apr 2023 16:58:06 +0200}, biburl = {https://dblp.org/rec/conf/ccwc/SinghMSTADMRP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/Kurtz-DavidABBL23, author = {Vered Kurtz{-}David and Vinayak Alladi and Stefan Bucher and Adam Brandenburger and Kenway Louie and Paul Glimcher and Agnieszka Tymula}, editor = {Micah B. Goldwater and Florencia K. Anggoro and Brett K. Hayes and Desmond C. Ong}, title = {Choosers Adapt Value Coding to the Environment, But Do Not Attain Efficiency}, booktitle = {Proceedings of the 45th Annual Meeting of the Cognitive Science Society, CogSci 2023, Sydney, NSW, Australia, July 26-29, 2023}, publisher = {cognitivesciencesociety.org}, year = {2023}, url = {https://escholarship.org/uc/item/7cp9r5hc}, timestamp = {Thu, 02 May 2024 16:36:09 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/Kurtz-DavidABBL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/comad/GaurSAGB23, author = {Garima Gaur and Rajat Singh and Siddhant Arora and Vinayak Gupta and Srikanta Bedathur}, title = {Teaching Old {DB} Neu(ral) Tricks: Learning Embeddings on Multi-tabular Databases}, booktitle = {Proceedings of the 6th Joint International Conference on Data Science {\&} Management of Data (10th {ACM} {IKDD} {CODS} and 28th COMAD), Mumbai, India, January 4-7, 2023}, pages = {87--94}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3570991.3571041}, doi = {10.1145/3570991.3571041}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/comad/GaurSAGB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/comad/SurJV23, author = {Tanuj Sur and Aaditree Jaisswal and Venkatesh Vinayakarao}, title = {Mathematical Expressions in Software Engineering Artifacts}, booktitle = {Proceedings of the 6th Joint International Conference on Data Science {\&} Management of Data (10th {ACM} {IKDD} {CODS} and 28th COMAD), Mumbai, India, January 4-7, 2023}, pages = {238--242}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3570991.3571033}, doi = {10.1145/3570991.3571033}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/comad/SurJV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/comsnets/LoganathanRTN23, author = {Priyam Loganathan and Dhruv Rauthan and Animesh Trivedi and Vinayak Naik}, title = {Performance Measurement of Distributed Storage on Edge Devices}, booktitle = {15th International Conference on COMmunication Systems {\&} NETworkS, {COMSNETS} 2023, Bangalore, India, January 3-8, 2023}, pages = {841--846}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/COMSNETS56262.2023.10041319}, doi = {10.1109/COMSNETS56262.2023.10041319}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/comsnets/LoganathanRTN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/comsnets/MathkarKBGSN23, author = {Saumya Mathkar and Prakhar Karsh and Udit Baluja and Surjya Ghosh and Sougata Sen and Vinayak Naik}, title = {A Smartphone-based Application to Detect Parkinson's Disease Using Audio}, booktitle = {15th International Conference on COMmunication Systems {\&} NETworkS, {COMSNETS} 2023, Bangalore, India, January 3-8, 2023}, pages = {177--179}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/COMSNETS56262.2023.10041413}, doi = {10.1109/COMSNETS56262.2023.10041413}, timestamp = {Fri, 24 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/comsnets/MathkarKBGSN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/VermaSKSSG23, author = {Vinay Kumar Verma and Dween Rabius Sanny and Shreyas Sunil Kulkarni and Prateek Sircar and Abhishek Singh and Deepak Gupta}, title = {SkiLL: Skipping Color and Label Landscape: Self Supervised Design Representations for Products in E-commerce}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {3503--3507}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00354}, doi = {10.1109/CVPRW59228.2023.00354}, timestamp = {Wed, 23 Aug 2023 16:23:26 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/VermaSKSSG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/desec/SachidanandaPSLL23, author = {Vinay Sachidananda and Rajendra Patil and Akshay Sachdeva and Kwok{-}Yan Lam and Yang Liu}, title = {APTer: Towards the Investigation of {APT} Attribution}, booktitle = {{IEEE} Conference on Dependable and Secure Computing, {DSC} 2023, Tampa, FL, USA, November 7-9, 2023}, pages = {1--10}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DSC61021.2023.10354155}, doi = {10.1109/DSC61021.2023.10354155}, timestamp = {Tue, 23 Jan 2024 20:30:56 +0100}, biburl = {https://dblp.org/rec/conf/desec/SachidanandaPSLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eScience/ThorveKMRM23, author = {Swapna Thorve and Aparna Kishore and Dustin Machi and S. S. Ravi and Madhav V. Marathe}, title = {A Network Synthesis and Analytics Pipeline with Applications to Sustainable Energy in Smart Grid}, booktitle = {19th {IEEE} International Conference on e-Science, e-Science 2023, Limassol, Cyprus, October 9-13, 2023}, pages = {1--10}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/e-Science58273.2023.10254867}, doi = {10.1109/E-SCIENCE58273.2023.10254867}, timestamp = {Fri, 29 Sep 2023 13:35:31 +0200}, biburl = {https://dblp.org/rec/conf/eScience/ThorveKMRM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edm/RashidDVJG23, author = {M. Parvez Rashid and Divyang Doshi and Sai Venkata Vinay and Qinjin Jia and Edward F. Gehringer}, editor = {Mingyu Feng and Tanja K{\"{a}}ser and Partha P. Talukdar and Rakesh Agrawal and Y. Narahari and Mykola Pechenizkiy}, title = {"Can we reach agreement?": {A} context- and semantic-based clustering approach with semi-supervised text-feature extraction for finding disagreement in peer-assessment formative feedback}, booktitle = {Proceedings of the 16th International Conference on Educational Data Mining, {EDM} 2023, Bengaluru, India, July 11-14, 2023}, publisher = {International Educational Data Mining Society}, year = {2023}, url = {https://educationaldatamining.org/2023.EDM-posters.56/index.html}, timestamp = {Mon, 11 Mar 2024 16:00:17 +0100}, biburl = {https://dblp.org/rec/conf/edm/RashidDVJG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eenergy/KishoreTM23, author = {Aparna Kishore and Swapna Thorve and Madhav V. Marathe}, title = {Budget-constrained optimal and equitable retrofitting problems for achieving energy efficiency}, booktitle = {Proceedings of the 14th {ACM} International Conference on Future Energy Systems, e-Energy 2023, Orlando, FL, USA, June 20-23, 2023}, pages = {473--484}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3575813.3597354}, doi = {10.1145/3575813.3597354}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eenergy/KishoreTM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eenergy/SharmaSLFVSR23, author = {Himanshu Sharma and Joshua D. Suetterlein and Sumathi Lakshmiranganatha and Thomas Flynn and Draguna L. Vrabie and Christine Sweeney and Vinay Ramakrishniah}, title = {{EXARL-PARS:} Parallel Augmented Random Search Using Reinforcement Learning at Scale for Applications in Power Systems}, booktitle = {Companion Proceedings of the 14th {ACM} International Conference on Future Energy Systems, e-Energy 2023, Orlando, FL, USA, June 20-23, 2023}, pages = {134--139}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3599733.3600261}, doi = {10.1145/3599733.3600261}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eenergy/SharmaSLFVSR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eis/KaushikN23, author = {Keshav Kaushik and Vinayak Naik}, editor = {Bo N{\o}rregaard J{\o}rgensen and Luiz Carlos Pereira da Silva and Zheng Ma}, title = {A Real-Time Non-Invasive Anomaly Detection Technique for Cooling Systems}, booktitle = {Energy Informatics - Third Energy Informatics Academy Conference, {EI.A} 2023, Campinas, Brazil, December 6-8, 2023, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14467}, pages = {135--151}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-48649-4\_8}, doi = {10.1007/978-3-031-48649-4\_8}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eis/KaushikN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/PuranikMC23, author = {Vinayak Puranik and Anirban Majumder and Vineet Chaoji}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {{PROTEGE:} Prompt-based Diverse Question Generation from Web Articles}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {5449--5463}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-emnlp.362}, doi = {10.18653/V1/2023.FINDINGS-EMNLP.362}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/PuranikMC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/envirvis-ws/JainSBSHVN23, author = {Toshit Jain and Varun Singh and Vijay Kumar Boda and Upkar Singh and Ingrid Hotz and P. N. Vinayachandran and Vijay Natarajan}, editor = {Soumya Dutta and Kathrin Feige and Karsten Rink and Dirk Zeckzer}, title = {pyParaOcean: {A} System for Visual Analysis of Ocean Data}, booktitle = {11th Workshop on Visualisation in Environmental Sciences, EnvirVis@EuroVis 2023, Leipzig, Germany, June 12, 2023}, pages = {1--8}, publisher = {Eurographics Association}, year = {2023}, url = {https://doi.org/10.2312/envirvis.20231100}, doi = {10.2312/ENVIRVIS.20231100}, timestamp = {Tue, 14 May 2024 12:42:08 +0200}, biburl = {https://dblp.org/rec/conf/envirvis-ws/JainSBSHVN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusipco/BiswasNA23, author = {Ritujoy Biswas and Karan Nathwani and Vinayak Abrol}, title = {Near-end Intelligibility Improvement Through Voice Transformation in Transfer Learning Framework}, booktitle = {31st European Signal Processing Conference, {EUSIPCO} 2023, Helsinki, Finland, September 4-8, 2023}, pages = {276--280}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/EUSIPCO58844.2023.10289790}, doi = {10.23919/EUSIPCO58844.2023.10289790}, timestamp = {Mon, 06 Nov 2023 12:35:15 +0100}, biburl = {https://dblp.org/rec/conf/eusipco/BiswasNA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ficta/JaagruthiVVGAS23, author = {Arantla Jaagruthi and Mallu Varshitha and Karumuru Sai Vinaya and Vayigandla Neelesh Gupta and C. Arunkumar and B. A. Sabarish}, editor = {Vikrant Bhateja and Fiona Carroll and Jo{\~{a}}o Manuel R. S. Tavares and Sandeep Singh Sengar and Peter Peer}, title = {User Story-Based Automatic Keyword Extraction Using Algorithms and Analysis}, booktitle = {Intelligent Data Engineering and Analytics - Proceedings of the 11th International Conference on Frontiers of Intelligent Computing: Theory and Applications {(FICTA} 2023), Cardiff, UK, 11-12 April 2023}, series = {Smart Innovation, Systems and Technologies}, volume = {371}, pages = {339--350}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-99-6706-3\_30}, doi = {10.1007/978-981-99-6706-3\_30}, timestamp = {Tue, 28 Nov 2023 14:03:16 +0100}, biburl = {https://dblp.org/rec/conf/ficta/JaagruthiVVGAS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/KHPDS23, author = {Prashanthi S. K and Vinayaka Hegde and Keerthana Patchava and Ankita Das and Yogesh Simmhan}, title = {Performance Characterization of Containerized {DNN} Training and Inference on Edge Accelerators}, booktitle = {30th {IEEE} International Conference on High Performance Computing, Data, and Analytics, HiPC 2023, Goa, India, December 18-21, 2023}, pages = {127--131}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HiPC58850.2023.00028}, doi = {10.1109/HIPC58850.2023.00028}, timestamp = {Tue, 16 Apr 2024 13:57:14 +0200}, biburl = {https://dblp.org/rec/conf/hipc/KHPDS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hoti/AgrawalPESJ23, author = {Vinayak Agrawal and Francois Piednoel and Igor Elkanovich and Dwaipayan Sil and Mirza Jahan}, title = {Level 4 Autonomous Driving SoC, leveraging chiplet, advanced package and UCIe}, booktitle = {{IEEE} Symposium on High-Performance Interconnects, {HOTI} 2023, Virtual Conference, USA, August 23-25, 2023}, pages = {9--14}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HOTI59126.2023.00016}, doi = {10.1109/HOTI59126.2023.00016}, timestamp = {Tue, 31 Oct 2023 15:49:02 +0100}, biburl = {https://dblp.org/rec/conf/hoti/AgrawalPESJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic3i/GanthadeBUGR23, author = {Vedant Vinay Ganthade and Adityaraj Sanjay Belhe and Prathamesh Suhas Uravane and Abhiraj Sandeep Gadade and Mamoon Rashid}, title = {Fall Detection Methods for Elderly People- {A} Comprehensive Survey}, booktitle = {6th International Conference on Contemporary Computing and Informatics, {IC3I} 2023, Gautam Buddha Nagar, India, September 14-16, 2023}, pages = {2477--2482}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IC3I59117.2023.10397811}, doi = {10.1109/IC3I59117.2023.10397811}, timestamp = {Tue, 13 Feb 2024 08:24:21 +0100}, biburl = {https://dblp.org/rec/conf/ic3i/GanthadeBUGR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic3i/GargKHAM23, author = {Rachit Garg and Arya Pankaj Kapoor and Vinay Vinod Hariya and Jagrit Acharya and Ayush Mundra}, title = {Systematic Review of {AIS} Based Trajectory Prediction Models}, booktitle = {6th International Conference on Contemporary Computing and Informatics, {IC3I} 2023, Gautam Buddha Nagar, India, September 14-16, 2023}, pages = {978--984}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IC3I59117.2023.10398061}, doi = {10.1109/IC3I59117.2023.10398061}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ic3i/GargKHAM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic3i/LogeshwaranPM23, author = {J. Logeshwaran and Ameya Shastri Pothukuchi and Vinay Mallikarjunaradhya}, title = {Implementation of Cloud Computing and Monte Carlo Simulation in the Healthcare Telemetry Applications}, booktitle = {6th International Conference on Contemporary Computing and Informatics, {IC3I} 2023, Gautam Buddha Nagar, India, September 14-16, 2023}, pages = {2242--2247}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IC3I59117.2023.10397777}, doi = {10.1109/IC3I59117.2023.10397777}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ic3i/LogeshwaranPM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic3i/ManoharanSLKNH23, author = {Geetha Manoharan and Vinay Kumar Sharma and Melanie Lourens and Akshay Kumar and Bijaya Bijeta Nayak and Punamkumar Hinge}, title = {Design and Empirical Analysis of a Artificial Intelligence-Based Human Resource Management Processing Systems for Detecting Personal Stress}, booktitle = {6th International Conference on Contemporary Computing and Informatics, {IC3I} 2023, Gautam Buddha Nagar, India, September 14-16, 2023}, pages = {2421--2426}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IC3I59117.2023.10398060}, doi = {10.1109/IC3I59117.2023.10398060}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ic3i/ManoharanSLKNH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic3i/VinayGYAS23, author = {Dodda Vinay and Prudhvi Sai Ram Gadipe and Subhashini Yalagam and Dasari Abhinay and S. K. Khaja Shareef}, title = {Automatic E-Challan Generation if Vehicle is Not Insured}, booktitle = {6th International Conference on Contemporary Computing and Informatics, {IC3I} 2023, Gautam Buddha Nagar, India, September 14-16, 2023}, pages = {1057--1061}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IC3I59117.2023.10397946}, doi = {10.1109/IC3I59117.2023.10397946}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ic3i/VinayGYAS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icacds/VinayVL0S23, author = {A. Vinay and Kishan Athirala Vasu and Pranav Yogi Lodha and S. Natarajan and T. S. B. Sudarshan}, editor = {Mayank Singh and Vipin Tyagi and P. K. Gupta and Jan Flusser and Tuncer I. {\"{O}}ren}, title = {Optimal {KAZE} and {AKAZE} Features for Facial Similarity Matching}, booktitle = {Advances in Computing and Data Sciences - 7th International Conference, {ICACDS} 2023, Kolkata, India, April 27-28, 2023, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1848}, pages = {161--177}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-37940-6\_14}, doi = {10.1007/978-3-031-37940-6\_14}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icacds/VinayVL0S23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icacds/VinayakAKS23, author = {M. Vinayak and Harishchander Anandaram and S. Sachin Kumar and K. P. Soman}, editor = {Mayank Singh and Vipin Tyagi and P. K. Gupta and Jan Flusser and Tuncer I. {\"{O}}ren}, title = {Circ {RNA} Based Classification of {SARS} CoV-2, {SARS} CoV-1 and MERS-CoVUsing Machine Learning}, booktitle = {Advances in Computing and Data Sciences - 7th International Conference, {ICACDS} 2023, Kolkata, India, April 27-28, 2023, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1848}, pages = {426--439}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-37940-6\_35}, doi = {10.1007/978-3-031-37940-6\_35}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icacds/VinayakAKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/GeorgeJ23, author = {Sushi Anna George and Vinay Joseph}, title = {Optimizing Bandwidth Sharing for Real-Time Traffic in Wireless Networks}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2023, Rome, Italy, May 28 - June 1, 2023}, pages = {3199--3204}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICC45041.2023.10278591}, doi = {10.1109/ICC45041.2023.10278591}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/GeorgeJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/IslamMKTCM23, author = {Kazi Ashik Islam and Rounak Meyur and Aparna Kishore and Swapna Thorve and Da Qi Chen and Madhav V. Marathe}, editor = {Jir{\'{\i}} Mikyska and Cl{\'{e}}lia de Mulatier and Maciej Paszynski and Valeria V. Krzhizhanovskaya and Jack J. Dongarra and Peter M. A. Sloot}, title = {OptICS-EV: {A} Data-Driven Model for Optimal Installation of Charging Stations for Electric Vehicles}, booktitle = {Computational Science - {ICCS} 2023 - 23rd International Conference, Prague, Czech Republic, July 3-5, 2023, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {14076}, pages = {70--85}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-36027-5\_6}, doi = {10.1007/978-3-031-36027-5\_6}, timestamp = {Tue, 25 Jul 2023 13:19:11 +0200}, biburl = {https://dblp.org/rec/conf/iccS/IslamMKTCM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/AwaleG23, author = {Vinayak Awale and Siddharth Gaikwad}, title = {Zero Trust Architecture Using Hyperledger Fabric}, booktitle = {14th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2023, Delhi, India, July 6-8, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCCNT56998.2023.10306776}, doi = {10.1109/ICCCNT56998.2023.10306776}, timestamp = {Thu, 30 Nov 2023 16:40:53 +0100}, biburl = {https://dblp.org/rec/conf/icccnt/AwaleG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/BahugunaSAK23, author = {Yash Bahuguna and Ayush Sinha and Sahil Adhikari and Vinay Kumar}, title = {32nm {CMOS} Analog Circuit Implementation of {STDP} for SNNs}, booktitle = {14th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2023, Delhi, India, July 6-8, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCCNT56998.2023.10307793}, doi = {10.1109/ICCCNT56998.2023.10307793}, timestamp = {Thu, 30 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icccnt/BahugunaSAK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/BansalSKSV23, author = {Ankit Bansal and Rishabh Sharma and Vinay Kukreja and Amitoj Singh and Satvik Vats}, title = {Sustainable {PRS:} {A} hybrid DL-based parcel recognition approach}, booktitle = {14th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2023, Delhi, India, July 6-8, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCCNT56998.2023.10307236}, doi = {10.1109/ICCCNT56998.2023.10307236}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icccnt/BansalSKSV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/ChandgaonkarIPA23, author = {Abhishek Sanjeev Chandgaonkar and Vaishali Ingale and Vinay Patil and Vanita Agarwal}, title = {Development Of {SV} {UVM} Testbench For Verification Of {AMBA} {AXI3} {IP} Used For Memory Access Application}, booktitle = {14th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2023, Delhi, India, July 6-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCCNT56998.2023.10307266}, doi = {10.1109/ICCCNT56998.2023.10307266}, timestamp = {Thu, 30 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icccnt/ChandgaonkarIPA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/DhawanKSVV23, author = {Nikhil Dhawan and Vinay Kukreja and Rishabh Sharma and Satvik Vats and Aditya Verma}, title = {Deep Learning Based Sugarcane Downy Mildew Disease Detection Using {CNN-LSTM} Ensemble Model for Severity Level Classification}, booktitle = {14th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2023, Delhi, India, July 6-8, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCCNT56998.2023.10307715}, doi = {10.1109/ICCCNT56998.2023.10307715}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icccnt/DhawanKSVV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/EdulaAKRDP23, author = {Vinay Edula and Kalyan Ammisetty and Aakash Kotha and Deepthi Ravipati and Aakashnag Davuluri and Arulmozhivarman P}, title = {A Novel Framework for {QR} Code Detection and Decoding from Obscure Images using {YOLO} Object Detection and Real-ESRGAN Image Enhancement Technique}, booktitle = {14th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2023, Delhi, India, July 6-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCCNT56998.2023.10307854}, doi = {10.1109/ICCCNT56998.2023.10307854}, timestamp = {Thu, 30 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icccnt/EdulaAKRDP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/GargKSSA23, author = {Shruti Garg and Vinay Kukreja and Rishabh Sharma and Vikrant Sharma and Manisha Aeri}, title = {Unveiling the Hidden Patterns: Deep Learning Approach for Early Detection and Severity Classification of Sugarcane Brown Spot}, booktitle = {14th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2023, Delhi, India, July 6-8, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCCNT56998.2023.10307945}, doi = {10.1109/ICCCNT56998.2023.10307945}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icccnt/GargKSSA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/HegdeRC23, author = {Vinayak Hegde and Abhinav M. R and Roshin C}, title = {Predicting Student Placement using {PCA} and Machine Learning Technique}, booktitle = {14th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2023, Delhi, India, July 6-8, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCCNT56998.2023.10307185}, doi = {10.1109/ICCCNT56998.2023.10307185}, timestamp = {Thu, 30 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icccnt/HegdeRC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/JindalJKTVS23, author = {Varun Jindal and Anuj Kumar Jain and Vinay Kukreja and Raj Gaurang Tiwari and Satvik Vats and Rishabh Sharma}, title = {Hybrid Classification Model using {CNN} {\&} {SVM} for Seven Wonders of the World}, booktitle = {14th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2023, Delhi, India, July 6-8, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCCNT56998.2023.10306420}, doi = {10.1109/ICCCNT56998.2023.10306420}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icccnt/JindalJKTVS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/KaushikBA23, author = {Nupur Kaushik and Vinay Bhardwaj and Harwant Singh Arri}, title = {A Machine Learning-Based Survey Of Adversarial Attacks And Defenses In Malware Classification}, booktitle = {14th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2023, Delhi, India, July 6-8, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCCNT56998.2023.10306555}, doi = {10.1109/ICCCNT56998.2023.10306555}, timestamp = {Thu, 30 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icccnt/KaushikBA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/KukrejaSSV23, author = {Vinay Kukreja and Rishabh Sharma and Vikrant Sharma and Aditya Verma}, title = {Crop Vigil: Automated Wheat Bunt Disease Multi-Classification with a {CNN-RNN} Hybrid Model and Attention Block}, booktitle = {14th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2023, Delhi, India, July 6-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCCNT56998.2023.10306498}, doi = {10.1109/ICCCNT56998.2023.10306498}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icccnt/KukrejaSSV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/KumarKKHGV23, author = {Deepak Kumar and Yash Kumar and Vinay Kukreja and Shanmugasundram Hariharan and Bhawna Goyal and Aditya Verma}, title = {Preserving Heritage Palaces: {A} Deep Learning {CNN-SVM} Hybrid Approach for Multi-classification}, booktitle = {14th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2023, Delhi, India, July 6-8, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCCNT56998.2023.10306849}, doi = {10.1109/ICCCNT56998.2023.10306849}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icccnt/KumarKKHGV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/MahajanSSKV23, author = {Manish Mahajan and Rishabh Sharma and Amandeep Singh and Vinay Kukreja and Satvik Vats}, title = {Towards Smart Agriculture: Automated Detection and Diagnosis of Bean Leaf Diseases Using ResNext50 Model}, booktitle = {14th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2023, Delhi, India, July 6-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCCNT56998.2023.10306708}, doi = {10.1109/ICCCNT56998.2023.10306708}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icccnt/MahajanSSKV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/MehtaKB23, author = {Shiva Mehta and Vinay Kukreja and Dibyahash Bordoloi}, title = {Revolutionizing Heritage Site Information Retrieval: {A} Deep Learning Approach Utilizing {CNN} and {SVM} for Effective Classification of Cultural Heritage Sites}, booktitle = {14th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2023, Delhi, India, July 6-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCCNT56998.2023.10308174}, doi = {10.1109/ICCCNT56998.2023.10308174}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icccnt/MehtaKB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/MehtaKG23, author = {Shiva Mehta and Vinay Kukreja and Richa Gupta}, title = {Exploring the Potential of Federated Learning {CNN} for Interactive Virtual Tours of {UNESCO} Cultural Heritage Sites: {A} Case Study}, booktitle = {14th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2023, Delhi, India, July 6-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCCNT56998.2023.10307071}, doi = {10.1109/ICCCNT56998.2023.10307071}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icccnt/MehtaKG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/MehtaKSA23, author = {Shiva Mehta and Vinay Kukreja and Vikrant Sharma and Manisha Aeri}, title = {Cutting-edge Analysis of Sweet Potato Leaf Diseases: Leveraging Federated Learning and CNNs for Severity Evaluation}, booktitle = {14th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2023, Delhi, India, July 6-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCCNT56998.2023.10307307}, doi = {10.1109/ICCCNT56998.2023.10307307}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icccnt/MehtaKSA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/MehtaKV23b, author = {Shiva Mehta and Vinay Kukreja and Satvik Vats}, title = {Pioneering a Beetroot Disease Diagnosis with Federated Learning and {CNN}}, booktitle = {14th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2023, Delhi, India, July 6-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCCNT56998.2023.10307198}, doi = {10.1109/ICCCNT56998.2023.10307198}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icccnt/MehtaKV23b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/MehtaKV23c, author = {Shiva Mehta and Vinay Kukreja and Satvik Vats}, title = {Revolutionizing Agricultural Expertise: {A} Federated Learning-CNN Approach for Potato Leaf Disease Multi-Classification}, booktitle = {14th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2023, Delhi, India, July 6-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCCNT56998.2023.10307820}, doi = {10.1109/ICCCNT56998.2023.10307820}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icccnt/MehtaKV23c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/MehtaKV23d, author = {Shiva Mehta and Vinay Kukreja and Satvik Vats}, title = {Uncovering New Possibilities for Rice Agriculture: {A} {CNN-SVM} Model for Accurate Identification of Severity in Bacterial Brown Spot Rice Leaf's Disease}, booktitle = {14th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2023, Delhi, India, July 6-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCCNT56998.2023.10308270}, doi = {10.1109/ICCCNT56998.2023.10308270}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icccnt/MehtaKV23d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/MehtaKVV23, author = {Shiva Mehta and Vinay Kukreja and Satvik Vats and Aditya Verma}, title = {A Paradigm Shift in Pomegranate Leaf Disease Detection with Federated Learning and {CNN}}, booktitle = {14th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2023, Delhi, India, July 6-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCCNT56998.2023.10308132}, doi = {10.1109/ICCCNT56998.2023.10308132}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icccnt/MehtaKVV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/ShankarSGIMJS23, author = {Alex Prateek Shankar and Sneh Shah and Souvik Ghosh and Zabi Ismail and Vinay M and Jayapriya J and Deepa S}, title = {Comparative Performance Analysis of Machine Learning and Deep Learning Techniques in Pneumonia Detection: {A} Study}, booktitle = {14th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2023, Delhi, India, July 6-8, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCCNT56998.2023.10306711}, doi = {10.1109/ICCCNT56998.2023.10306711}, timestamp = {Thu, 30 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icccnt/ShankarSGIMJS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/SharmaKK23, author = {Aaryan Sharma and Deepak Kumar and Vinay Kukreja}, title = {Boosting Crop Yield and Quality: Deep Learning-Based Multi-Classification of Wheat Eye Spot Disease}, booktitle = {14th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2023, Delhi, India, July 6-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCCNT56998.2023.10307378}, doi = {10.1109/ICCCNT56998.2023.10307378}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icccnt/SharmaKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/SinghSMKSV23, author = {Amandeep Singh and Sweety Sehgal and Manish Mahajan and Vinay Kukreja and Rishabh Sharma and Satvik Vats}, title = {FesNas: {A} Breakthrough Algorithm for Multi-Classification of Wheat Black Rust Intensity Levels}, booktitle = {14th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2023, Delhi, India, July 6-8, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCCNT56998.2023.10306427}, doi = {10.1109/ICCCNT56998.2023.10306427}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icccnt/SinghSMKSV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccns/SangiNSTMEA23, author = {Abdur Rashid Sangi and Jyothish Nagaram and Akshara Sudulagunta and Sai Sandeep Talari and Vinay Malla and Murali Krishna Enduri and Satish Anamalamudi}, title = {Tackling Disinformation: Machine Learning Solutions for Fake News Detection}, booktitle = {Proceedings of the 2023 13th International Conference on Communication and Network Security, {ICCNS} 2023, Fuzhou, China, December 6-8, 2023}, pages = {46--51}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3638782.3638790}, doi = {10.1145/3638782.3638790}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccns/SangiNSTMEA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccst/SinghPTWK23, author = {Aniket Singh and Amitesh Patra and Arjun Tyagi and Shivali Amit Wagle and Pooja Kamat}, title = {Comparative Analysis of Algorithms for Cotton Plant Leaf Disease Classification from an Image}, booktitle = {{IEEE} International Carnahan Conference on Security Technology, {ICCST} 2023, Pune, India, October 11-15, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCST59048.2023.10474248}, doi = {10.1109/ICCST59048.2023.10474248}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccst/SinghPTWK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/RoyVVG0D23, author = {Anurag Roy and Vinay Kumar Verma and Sravan Voonna and Kripabandhu Ghosh and Saptarshi Ghosh and Abir Das}, title = {Exemplar-Free Continual Transformer with Convolutions}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {5874--5884}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.00542}, doi = {10.1109/ICCV51070.2023.00542}, timestamp = {Mon, 22 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/RoyVVG0D23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/KaushikBGL23, author = {Vinay Kaushik and Swati Bhugra and Amit Gupta and Brejesh Lall}, title = {Marker-Free Computer Vision for Human Motion Analysis: {A} Review}, booktitle = {{IEEE} International Conference on Multimedia and Expo Workshops, {ICMEW} Workshops 2023, Brisbane, Australia, July 10-14, 2023}, pages = {212--217}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICMEW59549.2023.00043}, doi = {10.1109/ICMEW59549.2023.00043}, timestamp = {Mon, 05 Feb 2024 17:32:10 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/KaushikBGL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/KorbakSCBBPBP23, author = {Tomasz Korbak and Kejian Shi and Angelica Chen and Rasika Vinayak Bhalerao and Christopher L. Buckley and Jason Phang and Samuel R. Bowman and Ethan Perez}, editor = {Andreas Krause and Emma Brunskill and Kyunghyun Cho and Barbara Engelhardt and Sivan Sabato and Jonathan Scarlett}, title = {Pretraining Language Models with Human Preferences}, booktitle = {International Conference on Machine Learning, {ICML} 2023, 23-29 July 2023, Honolulu, Hawaii, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {202}, pages = {17506--17533}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v202/korbak23a.html}, timestamp = {Mon, 28 Aug 2023 17:23:08 +0200}, biburl = {https://dblp.org/rec/conf/icml/KorbakSCBBPBP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmla/KatamneniR23, author = {Vinaya Sree Katamneni and Ajita Rattani}, title = {MIS-AVoiDD: Modality Invariant and Specific Representation for Audio-Visual Deepfake Detection}, booktitle = {International Conference on Machine Learning and Applications, {ICMLA} 2023, Jacksonville, FL, USA, December 15-17, 2023}, pages = {1371--1378}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICMLA58977.2023.00207}, doi = {10.1109/ICMLA58977.2023.00207}, timestamp = {Tue, 02 Apr 2024 21:06:13 +0200}, biburl = {https://dblp.org/rec/conf/icmla/KatamneniR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/KaushikAN23, author = {Keshav Kaushik and Prabhutva Agrawal and Vinayak Naik}, title = {A Dynamic Scheduling Technique to Optimize Energy Consumption by Ductless-split ACs}, booktitle = {International Conference on Information Networking, {ICOIN} 2023, Bangkok, Thailand, January 11-14, 2023}, pages = {692--697}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICOIN56518.2023.10048941}, doi = {10.1109/ICOIN56518.2023.10048941}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/KaushikAN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/RajbhojNKSP23, author = {Asha Rajbhoj and Padmalata Nistala and Vinay Kulkarni and Shivani Soni and Ajim Pathan}, title = {DocToModel: Automated Authoring of Models from Diverse Requirements Specification Documents}, booktitle = {45th {IEEE/ACM} International Conference on Software Engineering: Software Engineering in Practice, SEIP@ICSE 2023, Melbourne, Australia, May 14-20, 2023}, pages = {199--210}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICSE-SEIP58684.2023.00024}, doi = {10.1109/ICSE-SEIP58684.2023.00024}, timestamp = {Thu, 20 Jul 2023 08:23:52 +0200}, biburl = {https://dblp.org/rec/conf/icse/RajbhojNKSP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsenst/KishnaniG23, author = {Vinay Kishnani and Ankur Gupta}, title = {Design optimization of trident shaped micro channels on paper for multiplex detection}, booktitle = {16th International Conference on Sensing Technology, {ICST} 2023, Hyderabad, India, December 17-20, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICST59744.2023.10460852}, doi = {10.1109/ICST59744.2023.10460852}, timestamp = {Tue, 02 Apr 2024 21:06:14 +0200}, biburl = {https://dblp.org/rec/conf/icsenst/KishnaniG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsenst/VenkataramCR23, author = {Vinay Venkataram and Pradnya Chabbi and Venkatesh KP Rao}, title = {Modeling and Simulation of a High Sensitivity {MEMS} Tuning Fork Gyroscope}, booktitle = {16th International Conference on Sensing Technology, {ICST} 2023, Hyderabad, India, December 17-20, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICST59744.2023.10506041}, doi = {10.1109/ICST59744.2023.10506041}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icsenst/VenkataramCR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsm/KabadiKXBPLL023, author = {Vinay Kabadi and Dezhen Kong and Siyu Xie and Lingfeng Bao and Gede Artha Azriadi Prana and Tien{-}Duy B. Le and Xuan{-}Bach Dinh Le and David Lo}, title = {The Future Can't Help Fix The Past: Assessing Program Repair In The Wild}, booktitle = {{IEEE} International Conference on Software Maintenance and Evolution, {ICSME} 2023, Bogot{\'{a}}, Colombia, October 1-6, 2023}, pages = {50--61}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICSME58846.2023.00017}, doi = {10.1109/ICSME58846.2023.00017}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icsm/KabadiKXBPLL023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icvgip/SharmaDKB23, author = {Shailza Sharma and Abhinav Dhall and Vinay Kumar and Vivek Singh Bawa}, editor = {Rahul Narain and Kaushik Mitra and Ian Reid}, title = {Dual Stage Semantic Information Based Generative Adversarial Network For Image Super-Resolution{\unicode{10033}}}, booktitle = {Proceedings of the Fourteenth Indian Conference on Computer Vision, Graphics and Image Processing, {ICVGIP} 2023, Rupnagar, India, December 15-17, 2023}, pages = {15:1--15:9}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3627631.3627646}, doi = {10.1145/3627631.3627646}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icvgip/SharmaDKB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifipiot/MusaleMMRS23, author = {Vinayak Musale and Pranav Mandke and Debajyoti Mukhopadhyay and Swapnoneel Roy and Aniket Singh}, editor = {Deepak Puthal and Saraju P. Mohanty and Baek{-}Young Choi}, title = {Honeypot Detection and Classification Using Xgboost Algorithm for Hyper Tuning System Performance}, booktitle = {Internet of Things. Advances in Information and Communication Technology - 6th {IFIP} International Cross-Domain Conference, IFIPIoT 2023, Denton, TX, USA, November 2-3, 2023, Proceedings, Part {I}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {683}, pages = {104--113}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-45878-1\_8}, doi = {10.1007/978-3-031-45878-1\_8}, timestamp = {Tue, 30 Jan 2024 10:11:54 +0100}, biburl = {https://dblp.org/rec/conf/ifipiot/MusaleMMRS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/GorrJWCCSRDGSMAS23, author = {Ben Gorr and Alan Aguilar Jaramillo and Zida Wu and Wooyeong Cho and Kewei Cheng and Molly K. Stroud and Vinay Ravindra and C{\'{e}}dric H. David and Huilin Gao and Yizhou Sun and Ankur Mehta and George H. Allen and Daniel Selva}, title = {Multi-Instrument Flood Monitoring With a Distributed, Decentralized, Dynamic and Context-Aware Satellite Sensor Web}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2023, Pasadena, CA, USA, July 16-21, 2023}, pages = {4602--4605}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IGARSS52108.2023.10282257}, doi = {10.1109/IGARSS52108.2023.10282257}, timestamp = {Thu, 28 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/GorrJWCCSRDGSMAS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/JaramilloGRDSMACCGSWS23, author = {Alan Aguilar Jaramillo and Ben Gorr and Vinay Ravindra and C{\'{e}}dric H. David and Molly K. Stroud and Ankur Mehta and George H. Allen and Wooyeong Cho and Kewei Cheng and Huilin Gao and Yizhou Sun and Zida Wu and Daniel Selva}, title = {Decentralized Market-Based Observation Assignment Strategy for Dynamic Networks in Sensor Web Mission Concepts}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2023, Pasadena, CA, USA, July 16-21, 2023}, pages = {4748--4751}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IGARSS52108.2023.10282528}, doi = {10.1109/IGARSS52108.2023.10282528}, timestamp = {Thu, 28 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/JaramilloGRDSMACCGSWS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/JewanBSPGTS23, author = {Shaikh Yassir Yousouf Jewan and Lawal Billa and Debbie Sparkes and Vinay Pagay and Deepak Gautam and Stephen D. Tyerman and Ajit Singh}, title = {Monitoring Growth and Development of Bambara Groundnut Using a Low-Cost Unmanned Aerial Vehicle}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2023, Pasadena, CA, USA, July 16-21, 2023}, pages = {3245--3248}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IGARSS52108.2023.10282340}, doi = {10.1109/IGARSS52108.2023.10282340}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/JewanBSPGTS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/TapeteJLSGRRSALKAC23, author = {Deodato Tapete and Rajeev Kumar Jaiswal and Giorgio Licciardi and Patrizia Sacco and Praveen Kumar Gupta and Pokkuluri Venkat Raju and Babu Govindha Raj and Anand S. Sahadevan and Touseef Ahmad and Rosly Boy Lyngdoh and Vinay Kumar and Shefali Agrawal and Karun Kumar Choudhary}, title = {Scientific Research and Applications Development Based on Exploitation of {PRISMA} Data in the Framework of {ASI} - {ISRO} Earth Observation Working Group Hyperspectral Activity}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2023, Pasadena, CA, USA, July 16-21, 2023}, pages = {1648--1651}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IGARSS52108.2023.10282213}, doi = {10.1109/IGARSS52108.2023.10282213}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/TapeteJLSGRRSALKAC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/VinayarajNM23, author = {Poliyapram Vinayaraj and Alameen Najjar and Kyle Mede}, title = {SATPOI-Net: Deep Learning Model to Predict the Point of Interest {(POI)} from Satellite Imagery}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2023, Pasadena, CA, USA, July 16-21, 2023}, pages = {5257--5260}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IGARSS52108.2023.10282478}, doi = {10.1109/IGARSS52108.2023.10282478}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/VinayarajNM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/ChenLLMSTV23, author = {Da Qi Chen and Ann Li and George Z. Li and Madhav V. Marathe and Aravind Srinivasan and Leonidas Tsepenekas and Anil Vullikanti}, title = {Efficient and Equitable Deployment of Mobile Vaccine Distribution Centers}, booktitle = {Proceedings of the Thirty-Second International Joint Conference on Artificial Intelligence, {IJCAI} 2023, 19th-25th August 2023, Macao, SAR, China}, pages = {64--72}, publisher = {ijcai.org}, year = {2023}, url = {https://doi.org/10.24963/ijcai.2023/8}, doi = {10.24963/IJCAI.2023/8}, timestamp = {Mon, 28 Aug 2023 17:23:07 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/ChenLLMSTV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/IslamCMMSV23, author = {Kazi Ashik Islam and Da Qi Chen and Madhav V. Marathe and Henning S. Mortveit and Samarth Swarup and Anil Vullikanti}, title = {Simulation-Assisted Optimization for Large-Scale Evacuation Planning with Congestion-Dependent Delays}, booktitle = {Proceedings of the Thirty-Second International Joint Conference on Artificial Intelligence, {IJCAI} 2023, 19th-25th August 2023, Macao, SAR, China}, pages = {5359--5367}, publisher = {ijcai.org}, year = {2023}, url = {https://doi.org/10.24963/ijcai.2023/595}, doi = {10.24963/IJCAI.2023/595}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/IslamCMMSV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnlp/DuttKKG23, author = {Ritam Dutt and Sopan Khosla and Vinayshekhar Bannihatti Kumar and Rashmi Gangadharaiah}, editor = {Jong C. Park and Yuki Arase and Baotian Hu and Wei Lu and Derry Wijaya and Ayu Purwarianti and Adila Alfa Krisnadhi}, title = {GrailQA++: {A} Challenging Zero-Shot Benchmark for Knowledge Base Question Answering}, booktitle = {Proceedings of the 13th International Joint Conference on Natural Language Processing and the 3rd Conference of the Asia-Pacific Chapter of the Association for Computational Linguistics, {IJCNLP} 2023 -Volume 1: Long Papers, Nusa Dua, Bali, November 1 - 4, 2023}, pages = {897--909}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.ijcnlp-main.58}, doi = {10.18653/V1/2023.IJCNLP-MAIN.58}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnlp/DuttKKG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indiaSE/Barn0BK23, author = {Balbir S. Barn and Tony Clark and Souvik Barat and Vinay Kulkarni}, editor = {Sonali Agarwal and Abhik Roychoudhury and Rahul Purandare and Saurabh Tiwari and Lov Kumar}, title = {Towards the Essence of Specifying Sociotechnical Digital Twins}, booktitle = {16th Innovations in Software Engineering Conference, ISEC2023, Allahabad, India, February 23-25, 2023}, pages = {18:1--18:5}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3578527.3578542}, doi = {10.1145/3578527.3578542}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/indiaSE/Barn0BK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/ShubhaMSFM23, author = {Sudipta Saha Shubha and Shohaib Mahmud and Haiying Shen and Geoffrey C. Fox and Madhav V. Marathe}, title = {Accurate and Efficient Distributed {COVID-19} Spread Prediction based on a Large-Scale Time-Varying People Mobility Graph}, booktitle = {{IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2023, St. Petersburg, FL, USA, May 15-19, 2023}, pages = {58--68}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IPDPS54959.2023.00016}, doi = {10.1109/IPDPS54959.2023.00016}, timestamp = {Tue, 25 Jul 2023 16:27:14 +0200}, biburl = {https://dblp.org/rec/conf/ipps/ShubhaMSFM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/RudowCHR23, author = {Michael Rudow and Neophytos Charalambides and Alfred O. Hero III and K. V. Rashmi}, title = {Compression-Informed Coded Computing}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2023, Taipei, Taiwan, June 25-30, 2023}, pages = {2177--2182}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISIT54713.2023.10206890}, doi = {10.1109/ISIT54713.2023.10206890}, timestamp = {Mon, 28 Aug 2023 17:20:14 +0200}, biburl = {https://dblp.org/rec/conf/isit/RudowCHR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/GarrettPKSHSPGBMVBHJYJG23, author = {David Garrett and Youn Sung Park and Seongjong Kim and Jay Sharma and Wenbin Huang and Majid Shaghaghi and Vinay Parthasarathy and Stephen Gibellini and Stephen Bailey and Mallik Moturi and Pieter Vorenkamp and Kurt Busch and Jeremy Holleman and Behrooz Javid and Alireza Yousefi and Mohsen Judy and Atul Gupta}, title = {A 1mW Always-on Computer Vision Deep Learning Neural Decision Processor}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {158--159}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067588}, doi = {10.1109/ISSCC42615.2023.10067588}, timestamp = {Wed, 29 Mar 2023 15:53:39 +0200}, biburl = {https://dblp.org/rec/conf/isscc/GarrettPKSHSPGBMVBHJYJG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KhakifiroozABBCEFFGGHHHJJKKKLMMMMNNPP23, author = {Ali Khakifirooz and Eduardo Anaya and Sriram Balasubrahrmanyam and Geoff Bennett and Daniel Castro and John Egler and Kuangchan Fan and Rifat Ferdous and Kartik Ganapathi and Omar Guzman and Chang{-}Wan Ha and Rezaul Haque and Vinaya Harish and Majid Jalalifar and Owen Jungroth and Sung{-}Taeg Kang and Golnaz Karbasian and Jee{-}Yeon Kim and Siyue Li and Aliasgar S. Madraswala and Srivijay Maddukuri and Amr Mohammed and Shanmathi Mookiah and Shashi Nagabhushan and Binh Ngo and Deep Patel and Sai Kumar Poosarla and Naveen Prabhu V and Carlos Quiroga and Shantanu Rajwade and Ahsanur Rahman and Jalpa Shah and Rohit S. Shenoy and Ebenezer Tachie{-}Menson and Archana Tankasala and Sandeep Krishna Thirumala and Sagar Upadhyay and Krishnasree Upadhyayula and Ashley Velasco and Nanda Kishore Babu Vemula and Bhaskar Venkataramaiah and Jiantao Zhou and Bharat Pathak and Pranav Kalavade}, title = {A 1.67Tb, 5b/Cell Flash Memory Fabricated in 192-Layer Floating Gate 3D-NAND Technology and Featuring a 23.3Gb/mm2 Bit Density}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {400--401}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067616}, doi = {10.1109/ISSCC42615.2023.10067616}, timestamp = {Wed, 27 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KhakifiroozABBCEFFGGHHHJJKKKLMMMMNNPP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/istas/PaulGKS23, author = {Sudipta Paul and Agam Gupta and Arpan Kumar Kar and Vinay Singh}, title = {An Automatic Deep Reinforcement Learning Based Credit Scoring Model using Deep-Q Network for Classification of Customer Credit Requests}, booktitle = {{IEEE} International Symposium on Technology and Society, {ISTAS} 2023, Swansea, United Kingdom, September 13-15, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISTAS57930.2023.10306111}, doi = {10.1109/ISTAS57930.2023.10306111}, timestamp = {Wed, 29 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/istas/PaulGKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iui/SmithV023, author = {Jason Brent Smith and Ashvala Vinay and Jason Freeman}, editor = {Alison Smith{-}Renner and Paul Taele}, title = {The Impact of Salient Musical Features in a Hybrid Recommendation System for a Sound Library 156-162}, booktitle = {Joint Proceedings of the {IUI} 2023 Workshops: HAI-GEN, ITAH, MILC, SHAI, SketchRec, {SOCIALIZE} co-located with the {ACM} International Conference on Intelligent User Interfaces {(IUI} 2023), Sydney, Australia, March 27-31, 2023}, series = {{CEUR} Workshop Proceedings}, volume = {3359}, pages = {156--162}, publisher = {CEUR-WS.org}, year = {2023}, url = {https://ceur-ws.org/Vol-3359/paper18.pdf}, timestamp = {Wed, 19 Apr 2023 17:05:54 +0200}, biburl = {https://dblp.org/rec/conf/iui/SmithV023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwslt/RadhakrishnanAG23, author = {Balaji Radhakrishnan and Saurabh Agrawal and Raj Prakash Gohil and Kiran Praveen and Advait Vinay Dhopeshwarkar and Abhishek Pandey}, editor = {Elizabeth Salesky and Marcello Federico and Marine Carpuat}, title = {SRI-B's Systems for {IWSLT} 2023 Dialectal and Low-resource Track: Marathi-Hindi Speech Translation}, booktitle = {Proceedings of the 20th International Conference on Spoken Language Translation, IWSLT@ACL 2023, Toronto, Canada (in-person and online), 13-14 July, 2023}, pages = {449--454}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.iwslt-1.43}, doi = {10.18653/V1/2023.IWSLT-1.43}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwslt/RadhakrishnanAG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/HarrisonACRVMA23, author = {Galen Harrison and Amro Alabsi Aljundi and Jiangzhuo Chen and S. S. Ravi and Anil Kumar S. Vullikanti and Madhav V. Marathe and Abhijin Adiga}, editor = {Ambuj K. Singh and Yizhou Sun and Leman Akoglu and Dimitrios Gunopulos and Xifeng Yan and Ravi Kumar and Fatma Ozcan and Jieping Ye}, title = {Identifying Complicated Contagion Scenarios from Cascade Data}, booktitle = {Proceedings of the 29th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, {KDD} 2023, Long Beach, CA, USA, August 6-10, 2023}, pages = {4135--4145}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3580305.3599841}, doi = {10.1145/3580305.3599841}, timestamp = {Mon, 25 Sep 2023 08:29:22 +0200}, biburl = {https://dblp.org/rec/conf/kdd/HarrisonACRVMA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lwa/LommatzschLRTBW23, author = {Andreas Lommatzsch and Brandon Llanque and Vinay Srinath Rosenberg and Syed Ali Murad Tahir and Hristo Dimitrov Boyadzhiev and Maurice Walny}, editor = {Michael Leyer and Johannes Wichmann}, title = {Combining Information Retrieval and Large Language Models for a Chatbot that Generates Reliable, Natural-style Answers}, booktitle = {Lernen, Wissen, Daten, Analysen {(LWDA)} Conference Proceedings, Marburg, Germany, October 9-11, 2023}, series = {{CEUR} Workshop Proceedings}, volume = {3630}, pages = {298--310}, publisher = {CEUR-WS.org}, year = {2023}, url = {https://ceur-ws.org/Vol-3630/LWDA2023-paper27.pdf}, timestamp = {Wed, 07 Feb 2024 16:02:39 +0100}, biburl = {https://dblp.org/rec/conf/lwa/LommatzschLRTBW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/med/PrasunSPKGOP23, author = {Parijat Prasun and Vijay Kumar Singh and Vinay Pandey and Shyam Kamal and Sandip Ghosh and Pavel Osinenko and Sergei Parsegov}, title = {Sliding Mode Control for a Class of Systems based on a Non-Monotonic Lyapunov Function}, booktitle = {31st Mediterranean Conference on Control and Automatio, {MED} 2023, Limassol, Cyprus, June 26-29, 2023}, pages = {618--623}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MED59994.2023.10185877}, doi = {10.1109/MED59994.2023.10185877}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/med/PrasunSPKGOP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mike/NayomiJVRV23, author = {B. Deena Divya Nayomi and L. Venkata Jayanth and A. Vinay and P. Subba Rao and L. Shashi Vardhan}, editor = {Seifedine Kadry and Rajendra Prasath}, title = {Securing the {MANET} by Detecting the Flooding Attacks Using Hybrid CNN-Bi-LSTM-RF Model}, booktitle = {Mining Intelligence and Knowledge Exploration - 9th International Conference, {MIKE} 2023, Kristiansand, Norway, June 28-30, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13924}, pages = {148--160}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-44084-7\_15}, doi = {10.1007/978-3-031-44084-7\_15}, timestamp = {Wed, 27 Sep 2023 09:00:01 +0200}, biburl = {https://dblp.org/rec/conf/mike/NayomiJVRV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mipr/SagarNCCS23, author = {Navneeth P. Sagar and Harkrit Singh Nagpal and Amit Chougule and Vinay Chamola and Biplab Sikdar}, title = {Computer Vision and IoT-Enabled Robotic Platform for Automated Crack Detection in Road and Bridges}, booktitle = {6th {IEEE} International Conference on Multimedia Information Processing and Retrieval, {MIPR} 2023, Singapore, August 30 - Sept. 1, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MIPR59079.2023.00015}, doi = {10.1109/MIPR59079.2023.00015}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mipr/SagarNCCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/ViksitA23, author = {Siddhant R. Viksit and Vinayak Abrol}, editor = {Abdulmotaleb El{-}Saddik and Tao Mei and Rita Cucchiara and Marco Bertini and Diana Patricia Tobon Vallejo and Pradeep K. Atrey and M. Shamim Hossain}, title = {Multi-Layer Acoustic {\&} Linguistic Feature Fusion for ComParE-23 Emotion and Requests Challenge}, booktitle = {Proceedings of the 31st {ACM} International Conference on Multimedia, {MM} 2023, Ottawa, ON, Canada, 29 October 2023- 3 November 2023}, pages = {9492--9495}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3581783.3612851}, doi = {10.1145/3581783.3612851}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/ViksitA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobihoc/SchoenbachlerKA23, author = {Joshua Schoenbachler and Vinay Krishnan and Garvit Agarwal and Feng Li}, editor = {Jie Wu and Suresh Subramaniam and Bo Ji and Carla{-}Fabiana Chiasserini}, title = {Sorting Ransomware from Malware Utilizing Machine Learning Methods with Dynamic Analysis}, booktitle = {Proceedings of the Twenty-fourth International Symposium on Theory, Algorithmic Foundations, and Protocol Design for Mobile Networks and Mobile Computing, MobiHoc 2023, Washington, DC, USA, October 23-26, 2023}, pages = {516--521}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3565287.3617632}, doi = {10.1145/3565287.3617632}, timestamp = {Tue, 21 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobihoc/SchoenbachlerKA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/models/0001CEKW23, author = {Tony Clark and Loek Cleophas and Romina Eramo and Vinay Kulkarni and Manuel Wimmer}, title = {3rd International Workshop on Model-Driven Engineering for Digital Twins (MoDDiT 2023)}, booktitle = {{ACM/IEEE} International Conference on Model Driven Engineering Languages and Systems, {MODELS} 2023 Companion, V{\"{a}}ster{\aa}s, Sweden, October 1-6, 2023}, pages = {426--428}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MODELS-C59198.2023.00075}, doi = {10.1109/MODELS-C59198.2023.00075}, timestamp = {Fri, 05 Jan 2024 16:35:45 +0100}, biburl = {https://dblp.org/rec/conf/models/0001CEKW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/models/KulkarniRBD23, author = {Vinay Kulkarni and Sreedhar Reddy and Souvik Barat and Jaya Dutta}, title = {Toward a Symbiotic Approach Leveraging Generative {AI} for Model Driven Engineering}, booktitle = {26th {ACM/IEEE} International Conference on Model Driven Engineering Languages and Systems, {MODELS} 2023, V{\"{a}}ster{\aa}s, Sweden, October 1-6, 2023}, pages = {184--193}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MODELS58315.2023.00039}, doi = {10.1109/MODELS58315.2023.00039}, timestamp = {Fri, 05 Jan 2024 14:12:58 +0100}, biburl = {https://dblp.org/rec/conf/models/KulkarniRBD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/VinayLPSHJEA23, author = {Ratnala Vinay and Kartik Laad and Chandrajit Pal and Pradip Sasmal and Toshihisa Haraki and Chirag Juyal and Mohamed Amir Gabir Elbakri and Amit Acharyya}, title = {Power and Memory Efficient High-Speed {RL} Based Run time Power Manager for Edge Computation}, booktitle = {66th {IEEE} International Midwest Symposium on Circuits and Systems, {MWSCAS} 2023, Tempe, AZ, USA, August 6-9, 2023}, pages = {546--550}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MWSCAS57524.2023.10405909}, doi = {10.1109/MWSCAS57524.2023.10405909}, timestamp = {Sat, 24 Feb 2024 20:42:53 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/VinayLPSHJEA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nais/SheikhiOTS23, author = {Ghazaal Sheikhi and Andreas L. Opdahl and Samia Touileb and Vinay Setty}, editor = {Rustam Galimullin and Samia Touileb}, title = {Making Sense of Nonsense: Integrated Gradient-Based Input Reduction to Improve Recall for Check-Worthy Claim Detection}, booktitle = {Proceedings of the 5th Symposium of the Norwegian {AI} Society, Bergen, Norway, June 14-15, 2023}, series = {{CEUR} Workshop Proceedings}, volume = {3431}, publisher = {CEUR-WS.org}, year = {2023}, url = {https://ceur-ws.org/Vol-3431/paper8.pdf}, timestamp = {Tue, 11 Jul 2023 17:14:10 +0200}, biburl = {https://dblp.org/rec/conf/nais/SheikhiOTS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/BirhanePHBL23, author = {Abeba Birhane and Vinay Uday Prabhu and Sanghyun Han and Vishnu Boddeti and Sasha Luccioni}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Into the LAION's Den: Investigating Hate in Multimodal Datasets}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/42f225509e8263e2043c9d834ccd9a2b-Abstract-Datasets\_and\_Benchmarks.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/BirhanePHBL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/JaiswalHR23, author = {Prateek Jaiswal and Harsha Honnappa and Vinayak A. Rao}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {On the Statistical Consistency of Risk-Sensitive Bayesian Decision-Making}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/a6df53f082619d02b9fad64a022e5de3-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/JaiswalHR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/LiHRMM23, author = {Yinghao Aaron Li and Cong Han and Vinay S. Raghavan and Gavin Mischler and Nima Mesgarani}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {StyleTTS 2: Towards Human-Level Text-to-Speech through Style Diffusion and Adversarial Training with Large Speech Language Models}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/3eaad2a0b62b5ed7a2e66c2188bb1449-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/LiHRMM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ShuklaZAB23, author = {Vinay Shukla and Zhe Zeng and Kareem Ahmed and Guy Van den Broeck}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {A Unified Approach to Count-Based Weakly Supervised Learning}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/79a0c8e7ae8e403e39341ea6b0ba4c21-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/ShuklaZAB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nsdi/RudowYKAER23, author = {Michael Rudow and Francis Y. Yan and Abhishek Kumar and Ganesh Ananthanarayanan and Martin Ellis and K. V. Rashmi}, editor = {Mahesh Balakrishnan and Manya Ghobadi}, title = {Tambur: Efficient loss recovery for videoconferencing via streaming codes}, booktitle = {20th {USENIX} Symposium on Networked Systems Design and Implementation, {NSDI} 2023, Boston, MA, April 17-19, 2023}, pages = {953--971}, publisher = {{USENIX} Association}, year = {2023}, url = {https://www.usenix.org/conference/nsdi23/presentation/rudow}, timestamp = {Thu, 11 May 2023 17:08:22 +0200}, biburl = {https://dblp.org/rec/conf/nsdi/RudowYKAER23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ondm/BalasubramanianDEA23, author = {Srivatsan Balasubramanian and Vinayak Dangui and John P. Eason and Satyajeet Singh Ahuja}, editor = {Teresa Gomes and David Larrabeiti L{\'{o}}pez and Carmen Mas Machuca and Luca Valcarenghi and Lu{\'{\i}}sa Jorge and Paulo Melo}, title = {Targeted Defragmentation of a Production Optical Network}, booktitle = {International Conference on Optical Network Design and Modeling, {ONDM} 2023, Coimbra, Portugal, May 8-11, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://ieeexplore.ieee.org/document/10144863}, timestamp = {Wed, 28 Jun 2023 10:28:55 +0200}, biburl = {https://dblp.org/rec/conf/ondm/BalasubramanianDEA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pakdd/MalgiART23, author = {Vinayaka Vivekananda Malgi and Sunil Aryal and Zafaryab Rasool and David Tay}, editor = {Hisashi Kashima and Tsuyoshi Id{\'{e}} and Wen{-}Chih Peng}, title = {Data-dependent and Scale-Invariant Kernel for Support Vector Machine Classification}, booktitle = {Advances in Knowledge Discovery and Data Mining - 27th Pacific-Asia Conference on Knowledge Discovery and Data Mining, {PAKDD} 2023, Osaka, Japan, May 25-28, 2023, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13935}, pages = {171--182}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-33374-3\_14}, doi = {10.1007/978-3-031-33374-3\_14}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pakdd/MalgiART23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/premi/DixitKR23, author = {Avinash Dixit and Vinay Kulkarni and Vinod V. Reddy}, editor = {Pradipta Maji and Tingwen Huang and Nikhil R. Pal and Santanu Chaudhury and Rajat K. De}, title = {Analysis of Segmented Spectrograms for Human Activity Recognition via Neural Network}, booktitle = {Pattern Recognition and Machine Intelligence - 10th International Conference, PReMI 2023, Kolkata, India, December 12-15, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14301}, pages = {312--320}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-45170-6\_32}, doi = {10.1007/978-3-031-45170-6\_32}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/premi/DixitKR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/premi/JainKBBHP23, author = {Mahek Jain and Guruprasad Kamat and Rochan Bachari and Vinayak A. Belludi and Dikshit Hegde and Ujwala Patil}, editor = {Pradipta Maji and Tingwen Huang and Nikhil R. Pal and Santanu Chaudhury and Rajat K. De}, title = {AfforDrive: Detection of Drivable Area for Autonomous Vehicles}, booktitle = {Pattern Recognition and Machine Intelligence - 10th International Conference, PReMI 2023, Kolkata, India, December 12-15, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14301}, pages = {532--539}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-45170-6\_55}, doi = {10.1007/978-3-031-45170-6\_55}, timestamp = {Fri, 24 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/premi/JainKBBHP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qce/JengNJLKCIE23, author = {Mingyoung Joshua Jeng and Md. Alvir Islam Nobel and Vinayak Jha and David Levy and Dylan Kneidel and Manu Chaudhary and S. M. Ishraq Ul Islam and Esam El{-}Araby}, editor = {Brian La Cour and Lia Yeh and Marek Osinski}, title = {Multidimensional Quantum Convolution with Arbitrary Filtering and Unity Stride}, booktitle = {{IEEE} International Conference on Quantum Computing and Engineering, {QCE} 2023, Bellevue, WA, USA, September 17-22, 2023}, pages = {260--261}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/QCE57702.2023.10233}, doi = {10.1109/QCE57702.2023.10233}, timestamp = {Fri, 15 Dec 2023 20:24:40 +0100}, biburl = {https://dblp.org/rec/conf/qce/JengNJLKCIE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/re/RajbhojNPKK23, author = {Asha Rajbhoj and Padmalata Nistala and Ajim Pathan and Piyush Kulkarni and Vinay Kulkarni}, editor = {Kurt Schneider and Fabiano Dalpiaz and Jennifer Horkoff}, title = {RClassify: Combining {NLP} and {ML} to Classify Rules from Requirements Specifications Documents}, booktitle = {31st {IEEE} International Requirements Engineering Conference, {RE} 2023, Hannover, Germany, September 4-8, 2023}, pages = {180--189}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/RE57278.2023.00026}, doi = {10.1109/RE57278.2023.00026}, timestamp = {Fri, 06 Oct 2023 16:02:57 +0200}, biburl = {https://dblp.org/rec/conf/re/RajbhojNPKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rice/VinayaSPB23, author = {Nareddy Vinaya and Vijender Kumar Solanki and L. Arokia Jesu Prabhu and Sivadi Balakrishna}, editor = {Pradeep Kumar and Manuel Cardona and Vijender Kumar Solanki and Tran Duc{-}Tan and Abdul Wahid}, title = {Unemployment Rate Future Forecasting Using Supervised Machine Learning Models}, booktitle = {Proceedings of the Eighth International Conference on Research in Intelligent Computing in Engineering, {RICE} 2023, Hyderabad, India, December 1-2, 2023}, series = {Annals of Computer Science and Information Systems}, volume = {38}, pages = {111--114}, year = {2023}, url = {https://doi.org/10.15439/2023R12}, doi = {10.15439/2023R12}, timestamp = {Tue, 23 Apr 2024 23:27:57 +0200}, biburl = {https://dblp.org/rec/conf/rice/VinayaSPB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/SarkarHDG23, author = {Meenakshi Sarkar and Vinayak Honkote and Dibyendu Das and Debasish Ghose}, title = {Action-conditioned Deep Visual Prediction with RoAM, a new Indoor Human Motion Dataset for Autonomous Robots}, booktitle = {32nd {IEEE} International Conference on Robot and Human Interactive Communication, {RO-MAN} 2023, Busan, Republic of Korea, August 28-31, 2023}, pages = {1115--1120}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/RO-MAN57019.2023.10309423}, doi = {10.1109/RO-MAN57019.2023.10309423}, timestamp = {Tue, 12 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ro-man/SarkarHDG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/BothraGBPLCKASC23, author = {Rahul Bothra and Rohan Gandhi and Ranjita Bhagwan and Venkata N. Padmanabhan and Rui Liang and Steve Carlson and Vinayaka Kamath and Sreangsu Acharyya and Ken Sueda and Somesh Chaturmohta and Harsha Sharma}, editor = {Henning Schulzrinne and Vishal Misra and Eddie Kohler and David A. Maltz}, title = {Switchboard: Efficient Resource Management for Conferencing Services}, booktitle = {Proceedings of the {ACM} {SIGCOMM} 2023 Conference, {ACM} {SIGCOMM} 2023, New York, NY, USA, 10-14 September 2023}, pages = {1000--1011}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3603269.3604879}, doi = {10.1145/3603269.3604879}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/BothraGBPLCKASC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sipaim/LeKGMDHD23, author = {Jonathan L. Le and Sean S. Kumar and Alireza Ghoreifi and Farshad S. Moghaddam and Hooman Djaladat and Darryl H. Hwang and Vinay A. Duddalwar}, title = {Evaluating Radiologic Metrics of Sarcopenia and Body Fat in Parastomal Hernia Development After Cystectomy and Ileal Conduit Urinary Diversion}, booktitle = {19th International Symposium on Medical Information Processing and Analysis, {SIPAIM} 2023, Mexico City, Mexico, November 15-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SIPAIM56729.2023.10373474}, doi = {10.1109/SIPAIM56729.2023.10373474}, timestamp = {Tue, 16 Jan 2024 21:01:24 +0100}, biburl = {https://dblp.org/rec/conf/sipaim/LeKGMDHD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sipaim/SetayeshLGLDCHV23, author = {Hesam Setayesh and Kunyu Li and Shubham Arun Gujar and Xiaomeng Lei and Vinay A. Duddalwar and Steven Y. Cen and Darryl H. Hwang and Bino A. Varghese}, title = {Image Perturbation Analysis to Study the Effect of Noise on Radiomics Metrics: Phantom Study}, booktitle = {19th International Symposium on Medical Information Processing and Analysis, {SIPAIM} 2023, Mexico City, Mexico, November 15-17, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SIPAIM56729.2023.10373469}, doi = {10.1109/SIPAIM56729.2023.10373469}, timestamp = {Thu, 18 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sipaim/SetayeshLGLDCHV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sipaim/SweetlandCLCDHV23, author = {Katharine Sweetland and Karen Chu and Xiaomeng Lei and Steven Y. Cen and Vinay A. Duddalwar and Darryl H. Hwang and Bino A. Varghese}, title = {Characterizing International Biomarker Standardization Initiative Image Features using Brodatz Textures}, booktitle = {19th International Symposium on Medical Information Processing and Analysis, {SIPAIM} 2023, Mexico City, Mexico, November 15-17, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SIPAIM56729.2023.10373462}, doi = {10.1109/SIPAIM56729.2023.10373462}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sipaim/SweetlandCLCDHV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sipaim/SweetlandCLCDVH23, author = {Katharine Sweetland and Karen Chu and Xiaomeng Lei and Steven Y. Cen and Vinay A. Duddalwar and Bino A. Varghese and Darryl H. Hwang}, title = {Effects of Reconstruction Filter Kernels in Computed Tomography on Radiomic Image Features}, booktitle = {19th International Symposium on Medical Information Processing and Analysis, {SIPAIM} 2023, Mexico City, Mexico, November 15-17, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SIPAIM56729.2023.10373503}, doi = {10.1109/SIPAIM56729.2023.10373503}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sipaim/SweetlandCLCDVH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sipaim/WuSCVHWKD23, author = {Yixing Wu and Alexander Shieh and Steven Y. Cen and Bino A. Varghese and Darryl H. Hwang and William Wallace and C.{-}C. Jay Kuo and Vinay A. Duddalwar}, title = {Discriminant Radiomic Feature Selection for {PD-L1} Prediction in Clear Cell Renal Cell Carcinoma}, booktitle = {19th International Symposium on Medical Information Processing and Analysis, {SIPAIM} 2023, Mexico City, Mexico, November 15-17, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SIPAIM56729.2023.10373476}, doi = {10.1109/SIPAIM56729.2023.10373476}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sipaim/WuSCVHWKD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssp/LariGAW23, author = {Ehsan Lari and Vinay Chakravarthi Gogineni and Reza Arablouei and Stefan Werner}, title = {Resource-Efficient Federated Learning Robust to Communication Errors}, booktitle = {{IEEE} Statistical Signal Processing Workshop, {SSP} 2023, Hanoi, Vietnam, July 2-5, 2023}, pages = {265--269}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SSP53291.2023.10208024}, doi = {10.1109/SSP53291.2023.10208024}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ssp/LariGAW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tencon/SulimanRP23, author = {Wael Suliman and Vinayakumar Ravi and Tuan D. Pham}, title = {A Retrospective Study on Classifying Gait Signals Using Entropy Measures}, booktitle = {{IEEE} Region 10 Conference, {TENCON} 2023, Chiang Mai, Thailand, October 31 - Nov. 3, 2023}, pages = {948--953}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/TENCON58879.2023.10322319}, doi = {10.1109/TENCON58879.2023.10322319}, timestamp = {Sat, 02 Dec 2023 14:05:41 +0100}, biburl = {https://dblp.org/rec/conf/tencon/SulimanRP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tpsisa/HarrisonPCWMBXH23, author = {Galen Harrison and Przemyslaw J. Porebski and Jiangzhuo Chen and Mandy L. Wilson and Henning S. Mortveit and Parantapa Bhattacharya and Dawen Xie and Stefan Hoops and Anil Vullikanti and Li Xiong and James Joshi and Madhav V. Marathe}, title = {Synthetic Information and Digital Twins for Pandemic Science: Challenges and Opportunities}, booktitle = {5th {IEEE} International Conference on Trust, Privacy and Security in Intelligent Systems and Applications, {TPS-ISA} 2023, Atlanta, GA, USA, November 1-4, 2023}, pages = {23--33}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/TPS-ISA58951.2023.00013}, doi = {10.1109/TPS-ISA58951.2023.00013}, timestamp = {Tue, 05 Mar 2024 12:00:59 +0100}, biburl = {https://dblp.org/rec/conf/tpsisa/HarrisonPCWMBXH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uss/BelliniLBSBR23, author = {Rosanna Bellini and Kevin Lee and Megan A. Brown and Jeremy Shaffer and Rasika Bhalerao and Thomas Ristenpart}, editor = {Joseph A. Calandrino and Carmela Troncoso}, title = {The Digital-Safety Risks of Financial Technologies for Survivors of Intimate Partner Violence}, booktitle = {32nd {USENIX} Security Symposium, {USENIX} Security 2023, Anaheim, CA, USA, August 9-11, 2023}, pages = {87--104}, publisher = {{USENIX} Association}, year = {2023}, url = {https://www.usenix.org/conference/usenixsecurity23/presentation/bellini}, timestamp = {Wed, 18 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uss/BelliniLBSBR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/KumarSKK23, author = {Vinay Kumar and Vijay Sahu and Ambar Khanda and Sudhir Kumar}, title = {Dynamic Keeper for 1R1W 8T-SRAM to Enable Read Operation at 150c till 0.5v in 5nm FinFET}, booktitle = {36th International Conference on {VLSI} Design and 2023 22nd International Conference on Embedded Systems, {VLSID} 2023, Hyderabad, India, January 8-12, 2023}, pages = {163--168}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/VLSID57277.2023.00044}, doi = {10.1109/VLSID57277.2023.00044}, timestamp = {Sat, 22 Apr 2023 17:02:07 +0200}, biburl = {https://dblp.org/rec/conf/vlsid/KumarSKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/KumarCWAS23, author = {Vinay Kumar and Bhrugurajsinh Chudasama and Bin B. W. Wang and Manish Arora and Bharath Shankaranarayanan}, title = {Allocating Physically Aware Embedded Memory Test {\&} Repair Processor using Floorplan Info at the {RTL} Design Level}, booktitle = {41st {IEEE} {VLSI} Test Symposium, {VTS} 2023, San Diego, CA, USA, April 24-26, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/VTS56346.2023.10140091}, doi = {10.1109/VTS56346.2023.10140091}, timestamp = {Fri, 09 Jun 2023 15:18:15 +0200}, biburl = {https://dblp.org/rec/conf/vts/KumarCWAS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/AgarwalCPMKV23, author = {Rishi Agarwal and Tirupati Saketh Chandra and Vaidehi Patil and Aniruddha Mahapatra and Kuldeep Kulkarni and Vishwa Vinay}, title = {{GEMS:} Scene Expansion using Generative Models of Graphs}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2023, Waikoloa, HI, USA, January 2-7, 2023}, pages = {157--166}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WACV56688.2023.00024}, doi = {10.1109/WACV56688.2023.00024}, timestamp = {Tue, 14 Feb 2023 22:26:55 +0100}, biburl = {https://dblp.org/rec/conf/wacv/AgarwalCPMKV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/AgarwalMNJ23, author = {Madhav Agarwal and Rudrabha Mukhopadhyay and Vinay P. Namboodiri and C. V. Jawahar}, title = {Audio-Visual Face Reenactment}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2023, Waikoloa, HI, USA, January 2-7, 2023}, pages = {5167--5176}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WACV56688.2023.00515}, doi = {10.1109/WACV56688.2023.00515}, timestamp = {Tue, 14 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wacv/AgarwalMNJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/AgarwalSMNJ23, author = {Aditya Agarwal and Bipasha Sen and Rudrabha Mukhopadhyay and Vinay P. Namboodiri and C. V. Jawahar}, title = {Towards MOOCs for Lipreading: Using Synthetic Talking Heads to Train Humans in Lipreading at Scale}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2023, Waikoloa, HI, USA, January 2-7, 2023}, pages = {2216--2225}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WACV56688.2023.00225}, doi = {10.1109/WACV56688.2023.00225}, timestamp = {Tue, 14 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wacv/AgarwalSMNJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/AgarwalSMNJ23a, author = {Aditya Agarwal and Bipasha Sen and Rudrabha Mukhopadhyay and Vinay P. Namboodiri and C. V. Jawahar}, title = {FaceOff: {A} Video-to-Video Face Swapping System}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2023, Waikoloa, HI, USA, January 2-7, 2023}, pages = {3484--3493}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WACV56688.2023.00349}, doi = {10.1109/WACV56688.2023.00349}, timestamp = {Tue, 14 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wacv/AgarwalSMNJ23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/BhugraKGLC23, author = {Swati Bhugra and Vinay Kaushik and Amit Gupta and Brejesh Lall and Santanu Chaudhury}, title = {AnoLeaf: Unsupervised Leaf Disease Segmentation via Structurally Robust Generative Inpainting}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2023, Waikoloa, HI, USA, January 2-7, 2023}, pages = {6404--6413}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WACV56688.2023.00635}, doi = {10.1109/WACV56688.2023.00635}, timestamp = {Tue, 14 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wacv/BhugraKGLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/GuptaMBKNJ23, author = {Anchit Gupta and Rudrabha Mukhopadhyay and Sindhu Balachandra and Faizan Farooq Khan and Vinay P. Namboodiri and C. V. Jawahar}, title = {Towards Generating Ultra-High Resolution Talking-Face Videos with Lip synchronization}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2023, Waikoloa, HI, USA, January 2-7, 2023}, pages = {5198--5207}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WACV56688.2023.00518}, doi = {10.1109/WACV56688.2023.00518}, timestamp = {Tue, 14 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wacv/GuptaMBKNJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/PSSMS23, author = {Vinay P and Abhisheka K. S and Lithesh Shetty and Kushal T. M and Shylaja S. S}, title = {Non Homogeneous Realistic Single Image Dehazing}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision Workshops, {WACV} 2023 - Workshops, Waikoloa, HI, USA, January 3-7, 2023}, pages = {548--555}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WACVW58289.2023.00061}, doi = {10.1109/WACVW58289.2023.00061}, timestamp = {Mon, 13 Feb 2023 21:53:11 +0100}, biburl = {https://dblp.org/rec/conf/wacv/PSSMS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/waspaa/ChaudharyA23, author = {Aryan Chaudhary and Vinayak Abrol}, title = {Towards on-Device Keyword Spotting using Low-Footprint Quaternion Neural Models}, booktitle = {{IEEE} Workshop on Applications of Signal Processing to Audio and Acoustics, {WASPAA} 2023, New Paltz, NY, USA, October 22-25, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WASPAA58266.2023.10248052}, doi = {10.1109/WASPAA58266.2023.10248052}, timestamp = {Sat, 23 Sep 2023 11:31:10 +0200}, biburl = {https://dblp.org/rec/conf/waspaa/ChaudharyA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/waw/AlaluusuaAKL23, author = {Kalle Alaluusua and Konstantin Avrachenkov and B. R. Vinay Kumar and Lasse Leskel{\"{a}}}, editor = {Megan Dewar and Pawel Pralat and Przemyslaw Szufel and Fran{\c{c}}ois Th{\'{e}}berge and Malgorzata Wrzosek}, title = {Multilayer Hypergraph Clustering Using the Aggregate Similarity Matrix}, booktitle = {Algorithms and Models for the Web Graph - 18th International Workshop, {WAW} 2023, Toronto, ON, Canada, May 23-26, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13894}, pages = {83--98}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-32296-9\_6}, doi = {10.1007/978-3-031-32296-9\_6}, timestamp = {Tue, 23 May 2023 09:57:42 +0200}, biburl = {https://dblp.org/rec/conf/waw/AlaluusuaAKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/AdigaHKLMPVDGGRSYBMPA23, author = {Aniruddha Adiga and Benjamin Hurt and Gursharn Kaur and Bryan Lewis and Madhav V. Marathe and Przemyslaw J. Porebski and Srinivasan Venkatramanan and Ambedkar Dukkipati and Tony Gracious and Shubham Gupta and Nihesh Rathod and Rajesh Sundaresan and Sarath Yasodharan and Kantha Rao Bhimala and Vidyadhar Mudkavi and Gopal Krishna Patra and Siva Athreya}, title = {A Multi-Team Multi-Model Collaborative Covid-19 Forecasting Hub for India}, booktitle = {Winter Simulation Conference, {WSC} 2023, San Antonio, TX, USA, December 10-13, 2023}, pages = {994--1005}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WSC60868.2023.10407748}, doi = {10.1109/WSC60868.2023.10407748}, timestamp = {Sat, 24 Feb 2024 20:42:44 +0100}, biburl = {https://dblp.org/rec/conf/wsc/AdigaHKLMPVDGGRSYBMPA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/AljundiHCMMVA23, author = {Amro Alabsi Aljundi and Galen Harrison and Jiangzhuo Chen and Madhav V. Marathe and Henning S. Mortveit and Anil Vullikanti and Abhijin Adiga}, title = {A Network-based Analytics Framework for High-Resolution Agent-based Epidemic Simulation Ensembles}, booktitle = {Winter Simulation Conference, {WSC} 2023, San Antonio, TX, USA, December 10-13, 2023}, pages = {3741--3752}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WSC60868.2023.10407472}, doi = {10.1109/WSC60868.2023.10407472}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wsc/AljundiHCMMVA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/FerdousiAWRVMSLRA23, author = {Tanvir Ferdousi and Abhijin Adiga and Mandy L. Wilson and S. S. Ravi and Anil Vullikanti and Madhav V. Marathe and Samarth Swarup and Mingliang Liu and Kirti Rajagopalan and Jennifer Adam}, title = {A Machine Learning Framework to Explain Complex Geospatial Simulations: {A} Climate Change Case Study}, booktitle = {Winter Simulation Conference, {WSC} 2023, San Antonio, TX, USA, December 10-13, 2023}, pages = {2990--3001}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WSC60868.2023.10408406}, doi = {10.1109/WSC60868.2023.10408406}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wsc/FerdousiAWRVMSLRA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/xai/Chikkankod23, author = {Arjun Vinayak Chikkankod}, editor = {Luca Longo}, title = {Deep Clustering as a Unified Method for Representation Learning and Clustering of {EEG} Data for Microstate Theory}, booktitle = {Joint Proceedings of the xAI-2023 Late-breaking Work, Demos and Doctoral Consortium co-located with the 1st World Conference on eXplainable Artificial Intelligence (xAI-2023), Lisbon, Portugal, July 26-28, 2023}, series = {{CEUR} Workshop Proceedings}, volume = {3554}, pages = {177--184}, publisher = {CEUR-WS.org}, year = {2023}, url = {https://ceur-ws.org/Vol-3554/paper31.pdf}, timestamp = {Mon, 04 Dec 2023 14:02:45 +0100}, biburl = {https://dblp.org/rec/conf/xai/Chikkankod23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-02876, author = {Zirou Qiu and Andrew Yuan and Chen Chen and Madhav V. Marathe and S. S. Ravi and Daniel J. Rosenkrantz and Richard Edwin Stearns and Anil Vullikanti}, title = {Assigning Agents to Increase Network-Based Neighborhood Diversity}, journal = {CoRR}, volume = {abs/2301.02876}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.02876}, doi = {10.48550/ARXIV.2301.02876}, eprinttype = {arXiv}, eprint = {2301.02876}, timestamp = {Tue, 22 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-02876.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-02889, author = {Zirou Qiu and Chen Chen and Madhav V. Marathe and S. S. Ravi and Daniel J. Rosenkrantz and Richard Edwin Stearns and Anil Vullikanti}, title = {Networked Anti-Coordination Games Meet Graphical Dynamical Systems: Equilibria and Convergence}, journal = {CoRR}, volume = {abs/2301.02889}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.02889}, doi = {10.48550/ARXIV.2301.02889}, eprinttype = {arXiv}, eprint = {2301.02889}, timestamp = {Tue, 22 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-02889.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-04090, author = {Zirou Qiu and Chen Chen and Madhav V. Marathe and S. S. Ravi and Daniel J. Rosenkrantz and Richard Edwin Stearns and Anil Vullikanti}, title = {Finding Nontrivial Minimum Fixed Points in Discrete Dynamical Systems}, journal = {CoRR}, volume = {abs/2301.04090}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.04090}, doi = {10.48550/ARXIV.2301.04090}, eprinttype = {arXiv}, eprint = {2301.04090}, timestamp = {Tue, 22 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-04090.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-09041, author = {Mohd Sabra and Nisha Vinayaga{-}Sureshkanth and Ari Sharma and Anindya Maiti and Murtuza Jadliwala}, title = {Exploiting Out-of-band Motion Sensor Data to De-anonymize Virtual Reality Users}, journal = {CoRR}, volume = {abs/2301.09041}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.09041}, doi = {10.48550/ARXIV.2301.09041}, eprinttype = {arXiv}, eprint = {2301.09041}, timestamp = {Thu, 26 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-09041.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-11657, author = {Kalle Alaluusua and Konstantin Avrachenkov and B. R. Vinay Kumar and Lasse Leskel{\"{a}}}, title = {Multilayer hypergraph clustering using the aggregate similarity matrix}, journal = {CoRR}, volume = {abs/2301.11657}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.11657}, doi = {10.48550/ARXIV.2301.11657}, eprinttype = {arXiv}, eprint = {2301.11657}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-11657.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-08582, author = {Tomasz Korbak and Kejian Shi and Angelica Chen and Rasika Bhalerao and Christopher L. Buckley and Jason Phang and Samuel R. Bowman and Ethan Perez}, title = {Pretraining Language Models with Human Preferences}, journal = {CoRR}, volume = {abs/2302.08582}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.08582}, doi = {10.48550/ARXIV.2302.08582}, eprinttype = {arXiv}, eprint = {2302.08582}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-08582.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-15226, author = {Fran{\c{c}}ois Gauthier and Vinay Chakravarthi Gogineni and Stefan Werner and Yih{-}Fang Huang and Anthony Kuh}, title = {Asynchronous Online Federated Learning with Reduced Communication Requirements}, journal = {CoRR}, volume = {abs/2303.15226}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.15226}, doi = {10.48550/ARXIV.2303.15226}, eprinttype = {arXiv}, eprint = {2303.15226}, timestamp = {Fri, 24 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-15226.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-01330, author = {Nicholas Gahman and Vinayak Elangovan}, title = {A Comparison of Document Similarity Algorithms}, journal = {CoRR}, volume = {abs/2304.01330}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.01330}, doi = {10.48550/ARXIV.2304.01330}, eprinttype = {arXiv}, eprint = {2304.01330}, timestamp = {Mon, 17 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-01330.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-06446, author = {Badri N. Patro and Vinay P. Namboodiri and Vijay Srinivas Agneeswaran}, title = {SpectFormer: Frequency and Attention is what you need in a Vision Transformer}, journal = {CoRR}, volume = {abs/2304.06446}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.06446}, doi = {10.48550/ARXIV.2304.06446}, eprinttype = {arXiv}, eprint = {2304.06446}, timestamp = {Wed, 19 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-06446.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-03710, author = {Anshul Thakur and Tingting Zhu and Vinayak Abrol and Jacob Armstrong and Yujiang Wang and David A. Clifton}, title = {Data Encoding For Healthcare Data Democratisation and Information Leakage Prevention}, journal = {CoRR}, volume = {abs/2305.03710}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.03710}, doi = {10.48550/ARXIV.2305.03710}, eprinttype = {arXiv}, eprint = {2305.03710}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-03710.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-18277, author = {Achraf Ben{-}Hamadou and Oussama Smaoui and Ahmed Rekik and Sergi Pujades and Edmond Boyer and Hoyeon Lim and Minchang Kim and Minkyung Lee and Minyoung Chung and Yeong{-}Gil Shin and Mathieu Leclercq and Lucia H. S. Cevidanes and Juan Carlos Prieto and Shaojie Zhuang and Guangshun Wei and Zhiming Cui and Yuanfeng Zhou and Tudor Dascalu and Bulat Ibragimov and Tae{-}Hoon Yong and Hong{-}Gi Ahn and Wan Kim and Jae{-}Hwan Han and Byungsun Choi and Niels van Nistelrooij and Steven Kempers and Shankeeth Vinayahalingam and Julien Strippoli and Aur{\'{e}}lien Thollot and Hugo Setbon and Cyril Trosset and Edouard Ladroit}, title = {3DTeethSeg'22: 3D Teeth Scan Segmentation and Labeling Challenge}, journal = {CoRR}, volume = {abs/2305.18277}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.18277}, doi = {10.48550/ARXIV.2305.18277}, eprinttype = {arXiv}, eprint = {2305.18277}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-18277.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-06399, author = {Fran{\c{c}}ois Gauthier and Vinay Chakravarthi Gogineni and Stefan Werner and Yih{-}Fang Huang and Anthony Kuh}, title = {Personalized Graph Federated Learning with Differential Privacy}, journal = {CoRR}, volume = {abs/2306.06399}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.06399}, doi = {10.48550/ARXIV.2306.06399}, eprinttype = {arXiv}, eprint = {2306.06399}, timestamp = {Fri, 24 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-06399.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-07601, author = {Ishaan Shivhare and Joy Purohit and Vinay Jogani and Samina Attari and Madhav Chandane}, title = {Intrusion Detection: {A} Deep Learning Approach}, journal = {CoRR}, volume = {abs/2306.07601}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.07601}, doi = {10.48550/ARXIV.2306.07601}, eprinttype = {arXiv}, eprint = {2306.07601}, timestamp = {Sat, 17 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-07601.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-07691, author = {Yinghao Aaron Li and Cong Han and Vinay S. Raghavan and Gavin Mischler and Nima Mesgarani}, title = {StyleTTS 2: Towards Human-Level Text-to-Speech through Style Diffusion and Adversarial Training with Large Speech Language Models}, journal = {CoRR}, volume = {abs/2306.07691}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.07691}, doi = {10.48550/ARXIV.2306.07691}, eprinttype = {arXiv}, eprint = {2306.07691}, timestamp = {Mon, 19 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-07691.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-13141, author = {Abeba Birhane and Vinay Uday Prabhu and Sang Han and Vishnu Naresh Boddeti}, title = {On Hate Scaling Laws For Data-Swamps}, journal = {CoRR}, volume = {abs/2306.13141}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.13141}, doi = {10.48550/ARXIV.2306.13141}, eprinttype = {arXiv}, eprint = {2306.13141}, timestamp = {Tue, 27 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-13141.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-15852, author = {Meenakshi Sarkar and Vinayak Honkote and Dibyendu Das and Debasish Ghose}, title = {Action-conditioned Deep Visual Prediction with RoAM, a new Indoor Human Motion Dataset for Autonomous Robots}, journal = {CoRR}, volume = {abs/2306.15852}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.15852}, doi = {10.48550/ARXIV.2306.15852}, eprinttype = {arXiv}, eprint = {2306.15852}, timestamp = {Tue, 12 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-15852.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-16004, author = {Avishek Anand and Venktesh V and Abhijit Anand and Vinay Setty}, title = {Query Understanding in the Age of Large Language Models}, journal = {CoRR}, volume = {abs/2306.16004}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.16004}, doi = {10.48550/ARXIV.2306.16004}, eprinttype = {arXiv}, eprint = {2306.16004}, timestamp = {Fri, 30 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-16004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-06476, author = {Vinay Banakar and Kan Wu and Yuvraj Patel and Kimberly Keeton and Andrea C. Arpaci{-}Dusseau and Remzi H. Arpaci{-}Dusseau}, title = {WiscSort: External Sorting For Byte-Addressable Storage}, journal = {CoRR}, volume = {abs/2307.06476}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.06476}, doi = {10.48550/ARXIV.2307.06476}, eprinttype = {arXiv}, eprint = {2307.06476}, timestamp = {Mon, 24 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-06476.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-06556, author = {Shivam Singh and Sajana S and Poornima and Gajje Sreelekha and Chandranath Adak and Rajendra P. Shukla and Vinayak Kamble}, title = {Metal Oxide-based Gas Sensor Array for the VOCs Analysis in Complex Mixtures using Machine Learning}, journal = {CoRR}, volume = {abs/2307.06556}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.06556}, doi = {10.48550/ARXIV.2307.06556}, eprinttype = {arXiv}, eprint = {2307.06556}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-06556.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-09613, author = {Vinayak Gupta and Srikanta Bedathur and Abir De}, title = {Retrieving Continuous Time Event Sequences using Neural Temporal Point Processes with Learnable Hashing}, journal = {CoRR}, volume = {abs/2307.09613}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.09613}, doi = {10.48550/ARXIV.2307.09613}, eprinttype = {arXiv}, eprint = {2307.09613}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-09613.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-14343, author = {Amarnath R and Vinay Kumar V}, title = {Pruning Distorted Images in {MNIST} Handwritten Digits}, journal = {CoRR}, volume = {abs/2307.14343}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.14343}, doi = {10.48550/ARXIV.2307.14343}, eprinttype = {arXiv}, eprint = {2307.14343}, timestamp = {Wed, 02 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-14343.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-06272, author = {Vinay Chamola and Gaurang Bansal and Tridib Kumar Das and Vikas Hassija and Naga Siva Sai Reddy and Jiacheng Wang and Sherali Zeadally and Amir Hussain and F. Richard Yu and Mohsen Guizani and Dusit Niyato}, title = {Beyond Reality: The Pivotal Role of Generative {AI} in the Metaverse}, journal = {CoRR}, volume = {abs/2308.06272}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.06272}, doi = {10.48550/ARXIV.2308.06272}, eprinttype = {arXiv}, eprint = {2308.06272}, timestamp = {Wed, 23 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-06272.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-11357, author = {Anurag Roy and Vinay Kumar Verma and Sravan Voonna and Kripabandhu Ghosh and Saptarshi Ghosh and Abir Das}, title = {Exemplar-Free Continual Transformer with Convolutions}, journal = {CoRR}, volume = {abs/2308.11357}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.11357}, doi = {10.48550/ARXIV.2308.11357}, eprinttype = {arXiv}, eprint = {2308.11357}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-11357.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-16753, author = {Abhijit Anand and Venktesh V and Vinay Setty and Avishek Anand}, title = {Context Aware Query Rewriting for Text Rankers using {LLM}}, journal = {CoRR}, volume = {abs/2308.16753}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.16753}, doi = {10.48550/ARXIV.2308.16753}, eprinttype = {arXiv}, eprint = {2308.16753}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-16753.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-04147, author = {Akankshya Kar and Sajal Maheshwari and Shamit Lal and Vinay Sameer Raja Kad}, title = {Robot Localization and Mapping Final Report - Sequential Adversarial Learning for Self-Supervised Deep Visual Odometry}, journal = {CoRR}, volume = {abs/2309.04147}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.04147}, doi = {10.48550/ARXIV.2309.04147}, eprinttype = {arXiv}, eprint = {2309.04147}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-04147.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-07277, author = {Harrison Rosenberg and Shimaa Ahmed and Guruprasad V. Ramesh and Ramya Korlakai Vinayak and Kassem Fawaz}, title = {Unbiased Face Synthesis With Diffusion Models: Are We There Yet?}, journal = {CoRR}, volume = {abs/2309.07277}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.07277}, doi = {10.48550/ARXIV.2309.07277}, eprinttype = {arXiv}, eprint = {2309.07277}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-07277.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-08227, author = {Soumya Banerjee and Vinay Kumar Verma and Avideep Mukherjee and Deepak Gupta and Vinay P. Namboodiri and Piyush Rai}, title = {{VERSE:} Virtual-Gradient Aware Streaming Lifelong Learning with Anytime Inference}, journal = {CoRR}, volume = {abs/2309.08227}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.08227}, doi = {10.48550/ARXIV.2309.08227}, eprinttype = {arXiv}, eprint = {2309.08227}, timestamp = {Fri, 22 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-08227.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-10000, author = {Vinayak Sodar and Ankit Sekseria}, title = {Detecting covariate drift in text data using document embeddings and dimensionality reduction}, journal = {CoRR}, volume = {abs/2309.10000}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.10000}, doi = {10.48550/ARXIV.2309.10000}, eprinttype = {arXiv}, eprint = {2309.10000}, timestamp = {Fri, 22 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-10000.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-12426, author = {Vinay Samuel and Houda Aynaou and Arijit Ghosh Chowdhury and Karthik Venkat Ramanan and Aman Chadha}, title = {Can LLMs Augment Low-Resource Reading Comprehension Datasets? Opportunities and Challenges}, journal = {CoRR}, volume = {abs/2309.12426}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.12426}, doi = {10.48550/ARXIV.2309.12426}, eprinttype = {arXiv}, eprint = {2309.12426}, timestamp = {Wed, 27 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-12426.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-14328, author = {Toshit Jain and Varun Singh and Vijay Kumar Boda and Upkar Singh and Ingrid Hotz and P. N. Vinayachandran and Vijay Natarajan}, title = {pyParaOcean: {A} System for Visual Analysis of Ocean Data}, journal = {CoRR}, volume = {abs/2309.14328}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.14328}, doi = {10.48550/ARXIV.2309.14328}, eprinttype = {arXiv}, eprint = {2309.14328}, timestamp = {Wed, 27 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-14328.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-02234, author = {Vinaya Sree Katamneni and Ajita Rattani}, title = {MIS-AVoiDD: Modality Invariant and Specific Representation for Audio-Visual Deepfake Detection}, journal = {CoRR}, volume = {abs/2310.02234}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.02234}, doi = {10.48550/ARXIV.2310.02234}, eprinttype = {arXiv}, eprint = {2310.02234}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-02234.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-09680, author = {Ankitha Sudarshan and Vinay Samuel and Parth Patwa and Ibtihel Amara and Aman Chadha}, title = {Improved Contextual Recognition In Automatic Speech Recognition Systems By Semantic Lattice Rescoring}, journal = {CoRR}, volume = {abs/2310.09680}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.09680}, doi = {10.48550/ARXIV.2310.09680}, eprinttype = {arXiv}, eprint = {2310.09680}, timestamp = {Wed, 25 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-09680.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-15361, author = {Haard Panchal and Ergun Akleman and Vinayak R. Krishnamurthy and Tolga Talha Yildiz and Varda Grover}, title = {Curved Space-Filling Tiles Using Voronoi Decomposition with Line, and Curve Segments Closed Under Wallpaper Symmetries}, journal = {CoRR}, volume = {abs/2310.15361}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.15361}, doi = {10.48550/ARXIV.2310.15361}, eprinttype = {arXiv}, eprint = {2310.15361}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-15361.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-03449, author = {Abeba Birhane and Vinay Uday Prabhu and Sang Han and Vishnu Naresh Boddeti and Alexandra Sasha Luccioni}, title = {Into the LAIONs Den: Investigating Hate in Multimodal Datasets}, journal = {CoRR}, volume = {abs/2311.03449}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.03449}, doi = {10.48550/ARXIV.2311.03449}, eprinttype = {arXiv}, eprint = {2311.03449}, timestamp = {Tue, 14 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-03449.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-04592, author = {Suryaka Suresh and Bishshoy Das and Vinayak Abrol and Sumantra Dutta Roy}, title = {On Characterizing the Evolution of Embedding Space of Neural Networks using Algebraic Topology}, journal = {CoRR}, volume = {abs/2311.04592}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.04592}, doi = {10.48550/ARXIV.2311.04592}, eprinttype = {arXiv}, eprint = {2311.04592}, timestamp = {Tue, 14 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-04592.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-10113, author = {Ashvala Vinay and Alexander Lerch}, title = {{AQUATK:} An Audio Quality Assessment Toolkit}, journal = {CoRR}, volume = {abs/2311.10113}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.10113}, doi = {10.48550/ARXIV.2311.10113}, eprinttype = {arXiv}, eprint = {2311.10113}, timestamp = {Wed, 22 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-10113.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-12944, author = {Daksh Dave and Vinay Chamola and Sandeep Joshi and Sherali Zeadally}, title = {DroneOptiNet: {A} Framework for Optimal Drone-based Load Redistribution Mechanism for 5G and Beyond Solar Small Cell Networks}, journal = {CoRR}, volume = {abs/2311.12944}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.12944}, doi = {10.48550/ARXIV.2311.12944}, eprinttype = {arXiv}, eprint = {2311.12944}, timestamp = {Thu, 30 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-12944.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-13718, author = {Vinay Shukla and Zhe Zeng and Kareem Ahmed and Guy Van den Broeck}, title = {A Unified Approach to Count-Based Weakly-Supervised Learning}, journal = {CoRR}, volume = {abs/2311.13718}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.13718}, doi = {10.48550/ARXIV.2311.13718}, eprinttype = {arXiv}, eprint = {2311.13718}, timestamp = {Thu, 30 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-13718.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-14029, author = {Cangxiong Chen and Vinay P. Namboodiri and Julian A. Padget}, title = {Understanding the Vulnerability of {CLIP} to Image Compression}, journal = {CoRR}, volume = {abs/2311.14029}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.14029}, doi = {10.48550/ARXIV.2311.14029}, eprinttype = {arXiv}, eprint = {2311.14029}, timestamp = {Thu, 30 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-14029.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-01167, author = {Vinay Kumar Verma and Nikhil Mehta and Kevin J. Liang and Aakansha Mishra and Lawrence Carin}, title = {Meta-Learned Attribute Self-Interaction Network for Continual and Generalized Zero-Shot Learning}, journal = {CoRR}, volume = {abs/2312.01167}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.01167}, doi = {10.48550/ARXIV.2312.01167}, eprinttype = {arXiv}, eprint = {2312.01167}, timestamp = {Tue, 14 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-01167.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-01655, author = {Vinayak Sharma and Aviral Shrivastava}, title = {Quantum Polar Metric Learning: Efficient Classically Learned Quantum Embeddings}, journal = {CoRR}, volume = {abs/2312.01655}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.01655}, doi = {10.48550/ARXIV.2312.01655}, eprinttype = {arXiv}, eprint = {2312.01655}, timestamp = {Tue, 02 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-01655.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-02608, author = {Florian Kofler and Hendrik Kristian M{\"{o}}ller and Josef A. Buchner and Ezequiel de la Rosa and Ivan Ezhov and Marcel Rosier and Isra Mekki and Suprosanna Shit and Moritz Negwer and Rami Al{-}Maskari and Ali Ert{\"{u}}rk and Shankeeth Vinayahalingam and Fabian Isensee and Sarthak Pati and Daniel Rueckert and Jan S. Kirschke and Stefan K. Ehrlich and Annika Reinke and Bjoern H. Menze and Benedikt Wiestler and Marie Piraud}, title = {Panoptica - instance-wise evaluation of 3D semantic and instance segmentation maps}, journal = {CoRR}, volume = {abs/2312.02608}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.02608}, doi = {10.48550/ARXIV.2312.02608}, eprinttype = {arXiv}, eprint = {2312.02608}, timestamp = {Wed, 13 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-02608.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-07220, author = {Prashanthi S. K and Vinayaka Hegde and Keerthana Patchava and Ankita Das and Yogesh Simmhan}, title = {Performance Characterization of Containerized {DNN} Training and Inference on Edge Accelerators}, journal = {CoRR}, volume = {abs/2312.07220}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.07220}, doi = {10.48550/ARXIV.2312.07220}, eprinttype = {arXiv}, eprint = {2312.07220}, timestamp = {Thu, 04 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-07220.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-13333, author = {Pratik Shah and Jenna C. Lester and Jana G. Deflino and Vinay Pai}, title = {Responsible Deep Learning for Software as a Medical Device}, journal = {CoRR}, volume = {abs/2312.13333}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.13333}, doi = {10.48550/ARXIV.2312.13333}, eprinttype = {arXiv}, eprint = {2312.13333}, timestamp = {Wed, 10 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-13333.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ac/SinghSNASPC22, author = {Anubhav Singh and Kavita Saini and Varad Nagar and Vinay Aseri and Mahipal Singh Sankhla and Pritam P. Pandit and Rushikesh L. Chopade}, title = {Chapter Sixteen - Artificial intelligence in edge devices}, journal = {Adv. Comput.}, volume = {127}, pages = {437--484}, year = {2022}, url = {https://doi.org/10.1016/bs.adcom.2022.02.013}, doi = {10.1016/BS.ADCOM.2022.02.013}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ac/SinghSNASPC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AgarwalRTCSBS22, author = {Udit Agarwal and Vinay Rishiwal and Sudeep Tanwar and Rashmi Chaudhary and Gulshan Sharma and Pitshou N. Bokoro and Ravi Sharma}, title = {Blockchain Technology for Secure Supply Chain Management: {A} Comprehensive Review}, journal = {{IEEE} Access}, volume = {10}, pages = {85493--85517}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3194319}, doi = {10.1109/ACCESS.2022.3194319}, timestamp = {Sat, 02 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/AgarwalRTCSBS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/BansalRCXN22, author = {Gaurang Bansal and Karthik Rajgopal and Vinay Chamola and Zehui Xiong and Dusit Niyato}, title = {Healthcare in Metaverse: {A} Survey on Current Metaverse Applications in Healthcare}, journal = {{IEEE} Access}, volume = {10}, pages = {119914--119946}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3219845}, doi = {10.1109/ACCESS.2022.3219845}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/BansalRCXN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChagantiBRMARLA22, author = {Rajasekhar Chaganti and Rajendra V. Boppana and Vinayakumar Ravi and Kashif Munir and Mubarak Almutairi and Furqan Rustam and Ernesto Lee and Imran Ashraf}, title = {A Comprehensive Review of Denial of Service Attacks in Blockchain Ecosystem and Open Challenges}, journal = {{IEEE} Access}, volume = {10}, pages = {96538--96555}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3205019}, doi = {10.1109/ACCESS.2022.3205019}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChagantiBRMARLA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChhariaUKCZWX22, author = {Aviral Chharia and Rahul Upadhyay and Vinay Kumar and Chao Cheng and Jing Zhang and Tianyang Wang and Min Xu}, title = {Deep-Precognitive Diagnosis: Preventing Future Pandemics by Novel Disease Detection With Biologically-Inspired Conv-Fuzzy Network}, journal = {{IEEE} Access}, volume = {10}, pages = {23167--23185}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3153059}, doi = {10.1109/ACCESS.2022.3153059}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChhariaUKCZWX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KaurBSRTSBS22, author = {Inderpreet Kaur and Banani Basu and Anil Kumar Singh and Vinay Rishiwal and Sudeep Tanwar and Gulshan Sharma and Pitshou N. Bokoro and Ravi Sharma}, title = {Annular Ring Ultra Wideband Antenna Integrated With Metallic via Array for IoT Applications}, journal = {{IEEE} Access}, volume = {10}, pages = {73446--73457}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3189573}, doi = {10.1109/ACCESS.2022.3189573}, timestamp = {Sat, 02 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KaurBSRTSBS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aim/ChaudhriBCDGHKL22, author = {Vinay K. Chaudhri and Chaitanya K. Baru and Naren Chittar and Xin Luna Dong and Michael R. Genesereth and James A. Hendler and Aditya Kalyanpur and Douglas B. Lenat and Juan Sequeda and Denny Vrandecic and Kuansan Wang}, title = {Knowledge Graphs: Introduction, History and, Perspectives}, journal = {{AI} Mag.}, volume = {43}, number = {1}, pages = {17--29}, year = {2022}, url = {https://doi.org/10.1609/aimag.v43i1.19119}, doi = {10.1609/AIMAG.V43I1.19119}, timestamp = {Wed, 06 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aim/ChaudhriBCDGHKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/air/SinghKS22, author = {Bharat Singh and Rajesh Kumar and Vinay Pratap Singh}, title = {Reinforcement learning in robotic applications: a comprehensive survey}, journal = {Artif. Intell. Rev.}, volume = {55}, number = {2}, pages = {945--990}, year = {2022}, url = {https://doi.org/10.1007/s10462-021-09997-9}, doi = {10.1007/S10462-021-09997-9}, timestamp = {Fri, 31 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/air/SinghKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/JSDAP22, author = {Prabuchandran K. J. and Nitin Singh and Pankaj Dayama and Ashutosh Agarwal and Vinayaka Pandit}, title = {Change point detection for compositional multivariate data}, journal = {Appl. Intell.}, volume = {52}, number = {2}, pages = {1930--1955}, year = {2022}, url = {https://doi.org/10.1007/s10489-021-02321-6}, doi = {10.1007/S10489-021-02321-6}, timestamp = {Sat, 12 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/apin/JSDAP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ase/NistalaRKSNR22, author = {Padmalata V. Nistala and Asha Rajbhoj and Vinay Kulkarni and Shivani Soni and Kesav V. Nori and Raghu Reddy}, title = {Towards digitalization of requirements: generating context-sensitive user stories from diverse specifications}, journal = {Autom. Softw. Eng.}, volume = {29}, number = {1}, pages = {26}, year = {2022}, url = {https://doi.org/10.1007/s10515-022-00324-2}, doi = {10.1007/S10515-022-00324-2}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ase/NistalaRKSNR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/KambleGK22, author = {Ashwin Kamble and Pradnya H. Ghare and Vinay Kumar}, title = {Machine-learning-enabled adaptive signal decomposition for a brain-computer interface using {EEG}}, journal = {Biomed. Signal Process. Control.}, volume = {74}, pages = {103526}, year = {2022}, url = {https://doi.org/10.1016/j.bspc.2022.103526}, doi = {10.1016/J.BSPC.2022.103526}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bspc/KambleGK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cad/KrishnamurthyPE22, author = {Vinayak R. Krishnamurthy and Laxmi Poudel and Matthew Ebert and Daniel H. Weber and Rencheng Wu and Wenchao Zhou and Ergun Akleman and Zhenghui Sha}, title = {\emph{LayerLock}: Layer-Wise Collision-Free Multi-Robot Additive Manufacturing Using Topologically Interlocked Space-Filling Shapes}, journal = {Comput. Aided Des.}, volume = {152}, pages = {103392}, year = {2022}, url = {https://doi.org/10.1016/j.cad.2022.103392}, doi = {10.1016/J.CAD.2022.103392}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cad/KrishnamurthyPE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cam/RamosKK22, author = {Higinio Ramos and Anurag Kaur and Vinay Kanwar}, title = {Using a cubic B-spline method in conjunction with a one-step optimized hybrid block approach to solve nonlinear partial differential equations}, journal = {Comput. Appl. Math.}, volume = {41}, number = {1}, year = {2022}, url = {https://doi.org/10.1007/s40314-021-01729-7}, doi = {10.1007/S40314-021-01729-7}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cam/RamosKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/YadavSRMLK22, author = {Vinay Surendra Yadav and Amit Raj Singh and Rakesh D. Raut and Sachin Kumar Mangla and Sunil Luthra and Anil Kumar}, title = {Exploring the application of Industry 4.0 technologies in the agricultural food supply chain: {A} systematic literature review}, journal = {Comput. Ind. Eng.}, volume = {169}, pages = {108304}, year = {2022}, url = {https://doi.org/10.1016/j.cie.2022.108304}, doi = {10.1016/J.CIE.2022.108304}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/candie/YadavSRMLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/AdamsMERBANVGLB22, author = {Lisa C. Adams and Marcus R. Makowski and G{\"{u}}nther Engel and Maximilian Rattunde and Felix Busch and Patrick Asbach and Stefan Markus Niehues and Shankeeth Vinayahalingam and Bram van Ginneken and Geert Litjens and Keno K. Bressem}, title = {Prostate158 - An expert-annotated 3T {MRI} dataset and algorithm for prostate cancer detection}, journal = {Comput. Biol. Medicine}, volume = {148}, pages = {105817}, year = {2022}, url = {https://doi.org/10.1016/j.compbiomed.2022.105817}, doi = {10.1016/J.COMPBIOMED.2022.105817}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/AdamsMERBANVGLB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/RaviprakashHP22, author = {Kiran Raviprakash and Biao Huang and Vinay Prasad}, title = {A hybrid modelling approach to model process dynamics by the discovery of a system of partial differential equations}, journal = {Comput. Chem. Eng.}, volume = {164}, pages = {107862}, year = {2022}, url = {https://doi.org/10.1016/j.compchemeng.2022.107862}, doi = {10.1016/J.COMPCHEMENG.2022.107862}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cce/RaviprakashHP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/RaviCA22, author = {Vinayakumar Ravi and Rajasekhar Chaganti and Mamoun Alazab}, title = {Recurrent deep learning-based feature fusion ensemble meta-classifier approach for intelligent network intrusion detection system}, journal = {Comput. Electr. Eng.}, volume = {102}, pages = {108156}, year = {2022}, url = {https://doi.org/10.1016/j.compeleceng.2022.108156}, doi = {10.1016/J.COMPELECENG.2022.108156}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/RaviCA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ci/ChenZWZCA22, author = {Ning Chen and Yimeng Zhang and Jielong Wu and Hongyi Zhang and Vinay Chamola and Victor Hugo C. de Albuquerque}, title = {Brain-computer interface-based target recognition system using transfer learning: {A} deep learning approach}, journal = {Comput. Intell.}, volume = {38}, number = {1}, pages = {139--155}, year = {2022}, url = {https://doi.org/10.1111/coin.12451}, doi = {10.1111/COIN.12451}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ci/ChenZWZCA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ci/YadavMRVP22, author = {Pooja Yadav and Neeraj Menon and Vinayakumar Ravi and Sowmya Vishvanathan and Tuan D. Pham}, title = {A two-stage deep learning framework for image-based android malware detection and variant classification}, journal = {Comput. Intell.}, volume = {38}, number = {5}, pages = {1748--1771}, year = {2022}, url = {https://doi.org/10.1111/coin.12532}, doi = {10.1111/COIN.12532}, timestamp = {Thu, 29 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ci/YadavMRVP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/SreelathaBM22, author = {Gavini Sreelatha and A. Vinaya Babu and Divya Midhunchakkaravarthy}, title = {Improved security in cloud using sandpiper and extended equilibrium deep transfer learning based intrusion detection}, journal = {Clust. Comput.}, volume = {25}, number = {5}, pages = {3129--3144}, year = {2022}, url = {https://doi.org/10.1007/s10586-021-03516-9}, doi = {10.1007/S10586-021-03516-9}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/SreelathaBM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/NivedAE22, author = {M. R. Nived and Sai Saketha Chandra Athkuri and Vinayak Eswaran}, title = {On the application of higher-order Backward Difference {(BDF)} methods for computing turbulent flows}, journal = {Comput. Math. Appl.}, volume = {117}, pages = {299--311}, year = {2022}, url = {https://doi.org/10.1016/j.camwa.2022.05.007}, doi = {10.1016/J.CAMWA.2022.05.007}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cma/NivedAE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmig/KimHVBKB22, author = {Taeouk Kim and Mohammadali Hedayat and Veronica V. Vaitkus and Marek Belohlavek and Vinayak R. Krishnamurthy and Iman Borazjani}, title = {A learning-based, region of interest-tracking algorithm for catheter detection in echocardiography}, journal = {Comput. Medical Imaging Graph.}, volume = {100}, pages = {102106}, year = {2022}, url = {https://doi.org/10.1016/j.compmedimag.2022.102106}, doi = {10.1016/J.COMPMEDIMAG.2022.102106}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmig/KimHVBKB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/RaviASC22, author = {Vinayakumar Ravi and Mamoun Alazab and Shymalagowri Selvaganapathy and Rajasekhar Chaganti}, title = {A Multi-View attention-based deep learning framework for malware detection in smart healthcare systems}, journal = {Comput. Commun.}, volume = {195}, pages = {73--81}, year = {2022}, url = {https://doi.org/10.1016/j.comcom.2022.08.015}, doi = {10.1016/J.COMCOM.2022.08.015}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/comcom/RaviASC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/SinghWDCG22, author = {Jaskaran Singh and Mohammad Wazid and Ashok Kumar Das and Vinay Chamola and Mohsen Guizani}, title = {Machine learning security attacks and defense approaches for emerging cyber physical applications: {A} comprehensive survey}, journal = {Comput. Commun.}, volume = {192}, pages = {316--331}, year = {2022}, url = {https://doi.org/10.1016/j.comcom.2022.06.012}, doi = {10.1016/J.COMCOM.2022.06.012}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/comcom/SinghWDCG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/Naddaf-ShNZDREB22, author = {Sadra Naddaf{-}Sh and Mohammad Mahdi Naddaf Shargh and Hassan Zargarzadeh and Maxim Dalton and Soodabeh Ramezani and Gabriel Elpers and Vinay S. Baburao and Amir R. Kashani}, title = {Real-Time Explainable Multiclass Object Detection for Quality Assessment in 2-Dimensional Radiography Images}, journal = {Complex.}, volume = {2022}, pages = {4637939:1--4637939:17}, year = {2022}, url = {https://doi.org/10.1155/2022/4637939}, doi = {10.1155/2022/4637939}, timestamp = {Tue, 19 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/complexity/Naddaf-ShNZDREB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compsec/PatilSPSG22, author = {Rajendra Patil and Vinay Sachidananda and Hongyi Peng and Akshay Sachdeva and Mohan Gurusamy}, title = {{MARK:} Fill in the blanks through a JointGAN based data augmentation for network anomaly detection}, journal = {Comput. Secur.}, volume = {119}, pages = {102759}, year = {2022}, url = {https://doi.org/10.1016/j.cose.2022.102759}, doi = {10.1016/J.COSE.2022.102759}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/compsec/PatilSPSG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computation/RaiAUKP22, author = {Santosh Kumar Rai and Neha Ahlawat and Raghvendra Upadhyay and Pardeep Kumar and Vinay Panwar}, title = {A Study on the Effect of Geometry and Operating Variables on Density Wave Oscillation in a Supercritical Natural Circulation Loop}, journal = {Comput.}, volume = {10}, number = {2}, pages = {25}, year = {2022}, url = {https://doi.org/10.3390/computation10020025}, doi = {10.3390/COMPUTATION10020025}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computation/RaiAUKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comsur/AlladiCSVGG22, author = {Tejasvi Alladi and Vinay Chamola and Nishad Sahu and Vishnu Venkatesh and Adit Goyal and Mohsen Guizani}, title = {A Comprehensive Survey on the Applications of Blockchain for Securing Vehicular Networks}, journal = {{IEEE} Commun. Surv. Tutorials}, volume = {24}, number = {2}, pages = {1212--1239}, year = {2022}, url = {https://doi.org/10.1109/COMST.2022.3160925}, doi = {10.1109/COMST.2022.3160925}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/comsur/AlladiCSVGG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csl/MohantaM22, author = {Abhijit Mohanta and Vinay Kumar Mittal}, title = {Analysis and classification of speech sounds of children with autism spectrum disorder using acoustic features}, journal = {Comput. Speech Lang.}, volume = {72}, pages = {101287}, year = {2022}, url = {https://doi.org/10.1016/j.csl.2021.101287}, doi = {10.1016/J.CSL.2021.101287}, timestamp = {Wed, 29 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/csl/MohantaM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csysl/KamidiKFAH22, author = {Vinay R. Kamidi and Jeeseop Kim and Randall T. Fawcett and Aaron D. Ames and Kaveh Akbari Hamed}, title = {Distributed Quadratic Programming-Based Nonlinear Controllers for Periodic Gaits on Legged Robots}, journal = {{IEEE} Control. Syst. Lett.}, volume = {6}, pages = {2509--2514}, year = {2022}, url = {https://doi.org/10.1109/LCSYS.2022.3167795}, doi = {10.1109/LCSYS.2022.3167795}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csysl/KamidiKFAH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cviu/SharmaDK22, author = {Shailza Sharma and Abhinav Dhall and Vinay Kumar}, title = {Frequency aware face hallucination generative adversarial network with semantic structural constraint}, journal = {Comput. Vis. Image Underst.}, volume = {223}, pages = {103553}, year = {2022}, url = {https://doi.org/10.1016/j.cviu.2022.103553}, doi = {10.1016/J.CVIU.2022.103553}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cviu/SharmaDK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/data/Alvarado-UribeM22, author = {Joanna Alvarado{-}Uribe and Paola Mej{\'{\i}}a{-}Almada and Ana Luisa Masetto Herrera and Roland Molontay and Isabel Hilliger and Vinayak Hegde and Jos{\'{e}} Enrique Montemayor Gallegos and Renato Armando Ram{\'{\i}}rez D{\'{\i}}az and Hector G. Ceballos}, title = {Student Dataset from Tecnologico de Monterrey in Mexico to Predict Dropout in Higher Education}, journal = {Data}, volume = {7}, number = {9}, pages = {119}, year = {2022}, url = {https://doi.org/10.3390/data7090119}, doi = {10.3390/DATA7090119}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/data/Alvarado-UribeM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/KhajuriaMBVSK22, author = {Payal Khajuria and M. Manhas and A. K. Bedyal and Ankush Vij and H. C. Swart and Vinay Kumar}, title = {Structural and luminescence characterization of thermally stable orange-red emitting LiSrP\({}_{\mbox{3}}\)O\({}_{\mbox{9}}\): Sm\({}^{\mbox{3+}}\) phosphor to fill the amber gap in WLEDs}, journal = {Displays}, volume = {75}, pages = {102302}, year = {2022}, url = {https://doi.org/10.1016/j.displa.2022.102302}, doi = {10.1016/J.DISPLA.2022.102302}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/displays/KhajuriaMBVSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dmaa/BhatSV22, author = {K. Arathi Bhat and G. Sudhakara and M. Vinay}, title = {Algorithm to check the existence of {H} for a given {G} such that {A(G)A(H)} is graphical}, journal = {Discret. Math. Algorithms Appl.}, volume = {14}, number = {5}, pages = {2150159:1--2150159:15}, year = {2022}, url = {https://doi.org/10.1142/S1793830921501597}, doi = {10.1142/S1793830921501597}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dmaa/BhatSV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eg/ChopraBVJ22, author = {Gaurav Chopra and Preeti Bhaskar and Muddu Vinay and Amit Joshi}, title = {E-government adoption and employees' job performance: the moderating role of age as a demographic factor}, journal = {Electron. Gov. an Int. J.}, volume = {18}, number = {2}, pages = {237--263}, year = {2022}, url = {https://doi.org/10.1504/EG.2022.121871}, doi = {10.1504/EG.2022.121871}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eg/ChopraBVJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/es/RaviAP22, author = {Vinayakumar Ravi and Vasundhara Acharya and Tuan D. Pham}, title = {Attention deep learning-based large-scale learning classifier for Cassava leaf disease classification}, journal = {Expert Syst. J. Knowl. Eng.}, volume = {39}, number = {2}, year = {2022}, url = {https://doi.org/10.1111/exsy.12862}, doi = {10.1111/EXSY.12862}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/es/RaviAP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/es/RaviNP22, author = {Vinayakumar Ravi and Harini Narasimhan and Tuan D. Pham}, title = {A cost-sensitive deep learning-based meta-classifier for pediatric pneumonia classification using chest X-rays}, journal = {Expert Syst. J. Knowl. Eng.}, volume = {39}, number = {7}, year = {2022}, url = {https://doi.org/10.1111/exsy.12966}, doi = {10.1111/EXSY.12966}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/es/RaviNP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/es/SureshkumarBRA22, author = {Vidhushavarshini Sureshkumar and Sathiyabhama Balasubramaniam and Vinayakumar Ravi and Ajay Arunachalam}, title = {A hybrid optimization algorithm-based feature selection for thyroid disease classifier with rough type-2 fuzzy support vector machine}, journal = {Expert Syst. J. Knowl. Eng.}, volume = {39}, number = {1}, year = {2022}, url = {https://doi.org/10.1111/exsy.12811}, doi = {10.1111/EXSY.12811}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/es/SureshkumarBRA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/YangBKCDDVMC22, author = {Simei Yang and Debjyoti Bhattacharjee and Vinay B. Y. Kumar and Saikat Chatterjee and Sayandip De and Peter Debacker and Diederik Verkest and Arindam Mallik and Francky Catthoor}, title = {{AERO:} Design Space Exploration Framework for Resource-Constrained {CNN} Mapping on Tile-Based Accelerators}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {12}, number = {2}, pages = {508--521}, year = {2022}, url = {https://doi.org/10.1109/JETCAS.2022.3171826}, doi = {10.1109/JETCAS.2022.3171826}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/YangBKCDDVMC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/Theivaprakasham22, author = {Hari Theivaprakasham and S. Darshana and Vinayakumar Ravi and V. Sowmya and E. A. Gopalakrishnan and K. P. Soman}, title = {Odonata identification using Customized Convolutional Neural Networks}, journal = {Expert Syst. Appl.}, volume = {206}, pages = {117688}, year = {2022}, url = {https://doi.org/10.1016/j.eswa.2022.117688}, doi = {10.1016/J.ESWA.2022.117688}, timestamp = {Thu, 29 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/Theivaprakasham22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ett/YaminiSSR22, author = {K. Anish Pon Yamini and Jesmitha Stephy and K. Suthendran and Vinayakumar Ravi}, title = {Improving routing disruption attack detection in MANETs using efficient trust establishment}, journal = {Trans. Emerg. Telecommun. Technol.}, volume = {33}, number = {5}, year = {2022}, url = {https://doi.org/10.1002/ett.4446}, doi = {10.1002/ETT.4446}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ett/YaminiSSR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/evi/JainBKAT22, author = {Rupali Jain and Jai Batra and Arpan Kumar Kar and Himanshu Agrawal and Vinay Anand Tikkiwal}, title = {A hybrid bio-inspired computing approach for buzz detection in social media}, journal = {Evol. Intell.}, volume = {15}, number = {1}, pages = {349--367}, year = {2022}, url = {https://doi.org/10.1007/s12065-020-00512-7}, doi = {10.1007/S12065-020-00512-7}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/evi/JainBKAT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ewc/RaadPHKRVHGDO22, author = {Ragheb Raad and Dhruv Patel and Chiao{-}Chih Hsu and Vijay Kothapalli and Deep Ray and Bino A. Varghese and Darryl Hwang and Inderbir Gill and Vinay A. Duddalwar and Assad A. Oberai}, title = {Probabilistic medical image imputation via deep adversarial learning}, journal = {Eng. Comput.}, volume = {38}, number = {5}, pages = {3975--3986}, year = {2022}, url = {https://doi.org/10.1007/s00366-022-01712-8}, doi = {10.1007/S00366-022-01712-8}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ewc/RaadPHKRVHGDO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fdgth/NaikHSVPSSSISKNSRCS22, author = {Nithesh Naik and B. M. Zeeshan Hameed and Nilakshman Sooriyaperakasam and Shankeeth Vinayahalingam and Vathsala Patil and Komal Smriti and Janhavi Saxena and Milap J. Shah and Sufyan Ibrahim and Anshuman Singh and Hadis Karimi and Karthickeyan Naganathan and Dasharathraj K. Shetty and Bhavan Prasad Rai and Piotr Chlosta and Bhaskar K. Somani}, title = {Transforming healthcare through a digital revolution: {A} review of digital healthcare technologies and solutions}, journal = {Frontiers Digit. Health}, volume = {4}, year = {2022}, url = {https://doi.org/10.3389/fdgth.2022.919985}, doi = {10.3389/FDGTH.2022.919985}, timestamp = {Fri, 05 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fdgth/NaikHSVPSSSISKNSRCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gandc/KetznerRB22, author = {Ryan Ketzner and Vinay Ravindra and Michael S. Bramble}, title = {A robust, fast, and accurate algorithm for point in spherical polygon classification with applications in geoscience and remote sensing}, journal = {Comput. Geosci.}, volume = {167}, pages = {105185}, year = {2022}, url = {https://doi.org/10.1016/j.cageo.2022.105185}, doi = {10.1016/J.CAGEO.2022.105185}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gandc/KetznerRB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hij/Gude22, author = {Vinayaka Gude}, title = {Modeling a decision support system for Covid-19 using systems dynamics and fuzzy inference}, journal = {Health Informatics J.}, volume = {28}, number = {3}, pages = {146045822211203}, year = {2022}, url = {https://doi.org/10.1177/14604582221120344}, doi = {10.1177/14604582221120344}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hij/Gude22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/WazidDCP22, author = {Mohammad Wazid and Ashok Kumar Das and Vinay Chamola and Youngho Park}, title = {Uniting cyber security and machine learning: Advantages, challenges and future research}, journal = {{ICT} Express}, volume = {8}, number = {3}, pages = {313--321}, year = {2022}, url = {https://doi.org/10.1016/j.icte.2022.04.007}, doi = {10.1016/J.ICTE.2022.04.007}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ict-express/WazidDCP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/igtr/BhurjeeY22, author = {Ajay Kumar Bhurjee and Vinay Yadav}, title = {Nash Equilibrium Points for Generalized Matrix Game Model with Interval Payoffs}, journal = {{IGTR}}, volume = {24}, number = {3}, pages = {2150021:1--2150021:14}, year = {2022}, url = {https://doi.org/10.1142/S0219198921500213}, doi = {10.1142/S0219198921500213}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/igtr/BhurjeeY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/KrishnanSRr22, author = {Thiyagarajan Krishnan and Sankar Sennan and Vinayakumar Ravi and Dwarakacharla Harshavardhan reddy}, title = {A dual-band circular patch antenna using hexagon-shaped slots}, journal = {Int. J. Commun. Syst.}, volume = {35}, number = {9}, year = {2022}, url = {https://doi.org/10.1002/dac.5125}, doi = {10.1002/DAC.5125}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcomsys/KrishnanSRr22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcse/EllouzeMKRB22, author = {Mourad Ellouze and Seifeddine Mechti and Moez Krichen and Vinayakumar Ravi and Lamia Hadrich Belguith}, title = {A deep learning approach for detecting the behaviour of people having personality disorders towards {COVID-19} from Twitter}, journal = {Int. J. Comput. Sci. Eng.}, volume = {25}, number = {4}, pages = {353--366}, year = {2022}, url = {https://doi.org/10.1504/IJCSE.2022.124553}, doi = {10.1504/IJCSE.2022.124553}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcse/EllouzeMKRB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdats/SarkarBMK22, author = {Anuradha Sarkar and Sasadhar Bera and Indrajit Mukherjee and Vinay Kumar}, title = {An efficient Mahalanobis-Taguchi system for nonlinear multi-class classification problem}, journal = {Int. J. Data Anal. Tech. Strateg.}, volume = {14}, number = {3}, year = {2022}, url = {https://doi.org/10.1504/ijdats.2022.10053268}, doi = {10.1504/IJDATS.2022.10053268}, timestamp = {Sun, 13 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdats/SarkarBMK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijinfomandi/SinghCSNkG22, author = {Vinay Singh and Shiuann{-}Shuoh Chen and Minal Singhania and Brijesh Nanavati and Arpan Kumar Kar and Agam Gupta}, title = {How are reinforcement learning and deep learning algorithms used for big data based decision making in financial industries-A review and research agenda}, journal = {Int. J. Inf. Manag. Data Insights}, volume = {2}, number = {2}, pages = {100094}, year = {2022}, url = {https://doi.org/10.1016/j.jjimei.2022.100094}, doi = {10.1016/J.JJIMEI.2022.100094}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijinfomandi/SinghCSNkG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijisec/KishoreICPVVVVP22, author = {R. Kishore and Iacovos Ioannou and Christophoros Christophorou and N. Prabagarane and Vasos Vassiliou and S. Vignesh and H. Vinayak and S. Venkatesh and Andreas Pitsillides}, title = {A security protocol for {D2D} communications in 5G networks using elliptic curve cryptography}, journal = {Int. J. Inf. Sec.}, volume = {21}, number = {6}, pages = {1389--1408}, year = {2022}, url = {https://doi.org/10.1007/s10207-022-00620-8}, doi = {10.1007/S10207-022-00620-8}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijisec/KishoreICPVVVVP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijkl/BhaskarJDV22, author = {Preeti Bhaskar and Amit Joshi and Padmalosani Dayalan and Muddu Vinay}, title = {Investigating the barriers and motivators to MOOCs adoption: a qualitative analysis of teacher's perspective}, journal = {Int. J. Knowl. Learn.}, volume = {15}, number = {2}, pages = {120--147}, year = {2022}, url = {https://doi.org/10.1504/IJKL.2022.121989}, doi = {10.1504/IJKL.2022.121989}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijkl/BhaskarJDV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/EPS22, author = {Jabir E. and Vinay V. Panicker and Rajagopalan Sridharan}, title = {Environmental friendly route design for a milk collection problem: the case of an Indian dairy}, journal = {Int. J. Prod. Res.}, volume = {60}, number = {3}, pages = {912--941}, year = {2022}, url = {https://doi.org/10.1080/00207543.2020.1846219}, doi = {10.1080/00207543.2020.1846219}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpr/EPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/JalaliARG22, author = {Hamed Jalali and Amir H. Ansaripoor and Vinay Ramani and Pietro De Giovanni}, title = {Closed-loop supply chain models with coopetition options}, journal = {Int. J. Prod. Res.}, volume = {60}, number = {10}, pages = {3078--3106}, year = {2022}, url = {https://doi.org/10.1080/00207543.2021.1910871}, doi = {10.1080/00207543.2021.1910871}, timestamp = {Fri, 18 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijpr/JalaliARG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/information/AmmaSRC22, author = {Narayanavadivoo Gopinathan Bhuvaneswari Amma and Velliangiri Sarveshwaran and Vinayakumar Ravi and Rajasekhar Chaganti}, title = {{LPCOCN:} {A} Layered Paddy Crop Optimization-Based Capsule Network Approach for Anomaly Detection at IoT Edge}, journal = {Inf.}, volume = {13}, number = {12}, pages = {587}, year = {2022}, url = {https://doi.org/10.3390/info13120587}, doi = {10.3390/INFO13120587}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/information/AmmaSRC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/interactions/KumarABCCCCDDEG22, author = {Neha Kumar and Julie A. Adams and Bill Buxton and Linda Candy and Pablo C{\'{e}}sar and Leigh Clark and Benjamin R. Cowan and Anind K. Dey and Phoebe O. Toups Dugas and Ernest A. Edmonds and Michael A. Goodrich and Mark Green and Jonathan Grudin and Yoshifumi Kitamura and Joe Konstan and Celine Latulipe and Minha Lee and Tom Malone and Regan L. Mandryk and Panos Markopoulos and Michael J. Muller and Lennart E. Nacke and Yukiko I. Nakano and Marianna Obrist and Martin Porcheron and Aleksandra Sarcevic and Johannes Sch{\"{o}}ning and Stacey D. Scott and Bonita Sharif and Frank Steinicke and Simone Stumpf and Edward Tse and Vinoba Vinayagamoorthy}, title = {A chronology of {SIGCHI} conferences: 1983 to 2022}, journal = {Interactions}, volume = {29}, number = {6}, pages = {34--41}, year = {2022}, url = {https://doi.org/10.1145/3568732}, doi = {10.1145/3568732}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/interactions/KumarABCCCCDDEG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/AlawamiVK22, author = {Mohsen Ali Alawami and Aishwarya Ram Vinay and Hyoungshick Kim}, title = {LocID: {A} Secure and Usable Location-Based Smartphone Unlocking Scheme Using Wi-Fi Signals and Light Intensity}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {23}, pages = {24357--24372}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2022.3189358}, doi = {10.1109/JIOT.2022.3189358}, timestamp = {Sat, 03 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/AlawamiVK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/SinghSSCBS22, author = {Shivani Singh and A. Razia Sulthana and Tanvi Shewale and Vinay Chamola and Abderrahim Benslimane and Biplab Sikdar}, title = {Machine-Learning-Assisted Security and Privacy Provisioning for Edge Computing: {A} Survey}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {1}, pages = {236--260}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2021.3098051}, doi = {10.1109/JIOT.2021.3098051}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/SinghSSCBS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotm/ElhenceKCS22, author = {Anubhav Elhence and Varun Kohli and Vinay Chamola and Biplab Sikdar}, title = {Enabling Cost-Effective and Secure Minor Medical Teleconsultation Using Artificial Intelligence and Blockchain}, journal = {{IEEE} Internet Things Mag.}, volume = {5}, number = {1}, pages = {80--84}, year = {2022}, url = {https://doi.org/10.1109/IOTM.001.2100142}, doi = {10.1109/IOTM.001.2100142}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotm/ElhenceKCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotm/GogineniWGHK22, author = {Vinay Chakravarthi Gogineni and Stefan Werner and Fran{\c{c}}ois Gauthier and Yih{-}Fang Huang and Anthony Kuh}, title = {Personalized Online Federated Learning for IoT/CPS: Challenges and Future Directions}, journal = {{IEEE} Internet Things Mag.}, volume = {5}, number = {4}, pages = {78--84}, year = {2022}, url = {https://doi.org/10.1109/IOTM.001.2200178}, doi = {10.1109/IOTM.001.2200178}, timestamp = {Fri, 24 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotm/GogineniWGHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotm/MehtaMNCZ22, author = {Dwij Mehta and Aditya Mehta and Pratik Narang and Vinay Chamola and Sherali Zeadally}, title = {Deep Learning Enhanced {UAV} Imagery for Critical Infrastructure Protection}, journal = {{IEEE} Internet Things Mag.}, volume = {5}, number = {2}, pages = {30--34}, year = {2022}, url = {https://doi.org/10.1109/IOTM.004.2200006}, doi = {10.1109/IOTM.004.2200006}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotm/MehtaMNCZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotm/OtoumCN22, author = {Yazan Otoum and Vinay Chamola and Amiya Nayak}, title = {Federated and Transfer Learning-Empowered Intrusion Detection for IoT Applications}, journal = {{IEEE} Internet Things Mag.}, volume = {5}, number = {3}, pages = {50--54}, year = {2022}, url = {https://doi.org/10.1109/IOTM.001.2200048}, doi = {10.1109/IOTM.001.2200048}, timestamp = {Wed, 16 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotm/OtoumCN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotm/RaviCA22, author = {Vinayakumar Ravi and Rajasekhar Chaganti and Mamoun Alazab}, title = {Deep Learning Feature Fusion Approach for an Intrusion Detection System in SDN-Based IoT Networks}, journal = {{IEEE} Internet Things Mag.}, volume = {5}, number = {2}, pages = {24--29}, year = {2022}, url = {https://doi.org/10.1109/IOTM.003.2200001}, doi = {10.1109/IOTM.003.2200001}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotm/RaviCA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isr/SaldanhaKKK22, author = {Terence Joseph Vinay Saldanha and Abhishek Kathuria and Jiban Khuntia and Benn R. Konsynski}, title = {Ghosts in the Machine: How Marketing and Human Capital Investments Enhance Customer Growth When Innovative Services Leverage Self-Service Technologies}, journal = {Inf. Syst. Res.}, volume = {33}, number = {1}, pages = {76--109}, year = {2022}, url = {https://doi.org/10.1287/isre.2021.1006}, doi = {10.1287/ISRE.2021.1006}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isr/SaldanhaKKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itl/RawatSRG22, author = {Shisrut Rawat and Aishwarya Srinivasan and Vinayakumar Ravi and Uttam Ghosh}, title = {Intrusion detection systems using classical machine learning techniques vs integrated unsupervised feature learning and deep neural network}, journal = {Internet Technol. Lett.}, volume = {5}, number = {1}, year = {2022}, url = {https://doi.org/10.1002/itl2.232}, doi = {10.1002/ITL2.232}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itl/RawatSRG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ivc/SunithaGNPHK22, author = {Gurram Sunitha and K. Geetha and S. Neelakandan and Aditya Kumar Singh Pundir and S. Hemalatha and Vinay Kumar}, title = {Intelligent deep learning based ethnicity recognition and classification using facial images}, journal = {Image Vis. Comput.}, volume = {121}, pages = {104404}, year = {2022}, url = {https://doi.org/10.1016/j.imavis.2022.104404}, doi = {10.1016/J.IMAVIS.2022.104404}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ivc/SunithaGNPHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/MorrisHSGLOCWTG22, author = {Alan H. Morris and Christopher Horvat and Brian Stagg and David W. Grainger and Michael Lanspa and James Orme and Terry P. Clemmer and Lindell K. Weaver and Frank Thomas and Colin K. Grissom and Ellie Hirshberg and Thomas D. East and Carrie Jane Wallace and Michael P. Young and Dean F. Sittig and Mary Suchyta and James E. Pearl and Antinio Pesenti and Michela Bombino and Eduardo Beck and Katherine A. Sward and Charlene R. Weir and Shobha Phansalkar and Gordon R. Bernard and B. Taylor Thompson and Roy Brower and Jonathon D. Truwit and Jay S. Steingrub and R. Duncan Hite and Douglas F. Willson and Jerry J. Zimmerman and Vinay Nadkarni and Adrienne G. Randolph and Martha A. Q. Curley and Christopher J. L. Newth and Jacques Lacroix and Michael S. D. Agus and Kang Hoe Lee and Bennett P. deBoisblanc and Frederick Alan Moore and R. Scott Evans and Dean K. Sorenson and Anthony Wong and Michael V. Boland and Willard H. Dere and Alan S. Crandall and Julio C. Facelli and Stanley M. Huff and Peter J. Haug and Ulrike Pielmeier and Stephen Edward Rees and Dan S. Karbing and Steen Andreassen and Eddy Fan and Roberta M. Goldring and Kenneth I Berger and Beno W. Oppenheimer and Eugene Wesley Ely and Brian W. Pickering and David A. Schoenfeld and Irena Tocino and Russell S. Gonnering and Peter J. Pronovost and Lucy A. Savitz and Didier Dreyfuss and Arthur S. Slutsky and James D. Crapo and Michael R. Pinsky and Brent James and Donald M. Berwick}, title = {Computer clinical decision support that automates personalized clinical care: a challenging but needed healthcare delivery strategy}, journal = {J. Am. Medical Informatics Assoc.}, volume = {30}, number = {1}, pages = {178--194}, year = {2022}, url = {https://doi.org/10.1093/jamia/ocac143}, doi = {10.1093/JAMIA/OCAC143}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jamia/MorrisHSGLOCWTG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcheminf/GrimbergTTGGPA22, author = {Hadar Grimberg and Vinay S. Tiwari and Benjamin Tam and Lihi Gur{-}Arie and Daniela Gingold and Lea Polachek and Barak Akabayov}, title = {Machine learning approaches to optimize small-molecule inhibitors for {RNA} targeting}, journal = {J. Cheminformatics}, volume = {14}, number = {1}, pages = {4}, year = {2022}, url = {https://doi.org/10.1186/s13321-022-00583-x}, doi = {10.1186/S13321-022-00583-X}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcheminf/GrimbergTTGGPA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcise/VyasCMK22, author = {Shantanu Vyas and Ting{-}Ju Chen and Ronak R. Mohanty and Vinayak R. Krishnamurthy}, title = {Making-a-Scene: {A} Preliminary Case Study on Speech-Based 3D Shape Exploration Through Scene Modeling}, journal = {J. Comput. Inf. Sci. Eng.}, volume = {22}, number = {1}, year = {2022}, url = {https://doi.org/10.1115/1.4055239}, doi = {10.1115/1.4055239}, timestamp = {Thu, 28 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcise/VyasCMK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jece/KumarRRK22, author = {Ch. Vinay Kumar and G. Madhusudhana Rao and A. Raghu Ram and Y. Prasanna Kumar}, title = {Designing of Neuro-Fuzzy Controllers for Brushless {DC} Motor Drives Operating with Multiswitch Three-Phase Topology}, journal = {J. Electr. Comput. Eng.}, volume = {2022}, pages = {7001448:1--7001448:12}, year = {2022}, url = {https://doi.org/10.1155/2022/7001448}, doi = {10.1155/2022/7001448}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jece/KumarRRK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfr/LohanNJKMR22, author = {Shiv Kumar Lohan and Mahesh Kumar Narang and Mohd Javed and Vinay Kumar and Atin Majumder and Parmar Raghuvirsinh}, title = {Optimization and evaluation of machine-field parameters of remotely controlled two-wheel paddy transplanter}, journal = {J. Field Robotics}, volume = {39}, number = {6}, pages = {984--998}, year = {2022}, url = {https://doi.org/10.1002/rob.22080}, doi = {10.1002/ROB.22080}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfr/LohanNJKMR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/AarthiV22, author = {R. J. Aarthi and B. Vinayagasundaram}, title = {Effective management of class imbalance problem in climate data analysis using a hybrid of deep learning and data level sampling}, journal = {J. Intell. Fuzzy Syst.}, volume = {43}, number = {4}, pages = {4187--4199}, year = {2022}, url = {https://doi.org/10.3233/JIFS-210666}, doi = {10.3233/JIFS-210666}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/AarthiV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jirs/YadavMS22, author = {Umesh Kumar Yadav and Veerpratap P. Meena and Vinay Pratap Singh}, title = {A Novel Rank-order-centroid Based Reduction of Self-balanced-bicycle-robot Controller Using Grey-wolf Optimizer}, journal = {J. Intell. Robotic Syst.}, volume = {106}, number = {3}, pages = {62}, year = {2022}, url = {https://doi.org/10.1007/s10846-022-01758-2}, doi = {10.1007/S10846-022-01758-2}, timestamp = {Sat, 27 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jirs/YadavMS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmlr/AdigaKMRRS22, author = {Abhijin Adiga and Chris J. Kuhlman and Madhav V. Marathe and S. S. Ravi and Daniel J. Rosenkrantz and Richard Edwin Stearns}, title = {Using Active Queries to Infer Symmetric Node Functions of Graph Dynamical Systems}, journal = {J. Mach. Learn. Res.}, volume = {23}, pages = {251:1--251:43}, year = {2022}, url = {http://jmlr.org/papers/v23/21-0409.html}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/AdigaKMRRS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsan/PatilVMAMKGDKK22, author = {Shruti Patil and Varadarajan Vijayakumar and Supriya V. Mahadevkar and Rohan Athawade and Lakhan Maheshwari and Shrushti Kumbhare and Yash Garg and Deepak S. Dharrao and Pooja Kamat and Ketan Kotecha}, title = {Enhancing Optical Character Recognition on Images with Mixed Text Using Semantic Segmentation}, journal = {J. Sens. Actuator Networks}, volume = {11}, number = {4}, pages = {63}, year = {2022}, url = {https://doi.org/10.3390/jsan11040063}, doi = {10.3390/JSAN11040063}, timestamp = {Tue, 18 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsan/PatilVMAMKGDKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeASZKVCFGCMOL22, author = {Sae Kyu Lee and Ankur Agrawal and Joel Silberman and Matthew M. Ziegler and Mingu Kang and Swagath Venkataramani and Nianzheng Cao and Bruce M. Fleischer and Michael Guillorn and Matthew Cohen and Silvia M. Mueller and Jinwook Oh and Martin Lutz and Jinwook Jung and Siyu Koswatta and Ching Zhou and Vidhi Zalani and Monodeep Kar and James Bonanno and Robert Casatuta and Chia{-}Yu Chen and Jungwook Choi and Howard Haynie and Alyssa Herbert and Radhika Jain and Kyu{-}Hyoun Kim and Yulong Li and Zhibin Ren and Scot Rider and Marcel Schaal and Kerstin Schelm and Michael Scheuermann and Xiao Sun and Hung Tran and Naigang Wang and Wei Wang and Xin Zhang and Vinay Shah and Brian W. Curran and Vijayalakshmi Srinivasan and Pong{-}Fei Lu and Sunil Shukla and Kailash Gopalakrishnan and Leland Chang}, title = {A 7-nm Four-Core Mixed-Precision {AI} Chip With 26.2-TFLOPS Hybrid-FP8 Training, 104.9-TOPS {INT4} Inference, and Workload-Aware Throttling}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {1}, pages = {182--197}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3120113}, doi = {10.1109/JSSC.2021.3120113}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeASZKVCFGCMOL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/SorengK22, author = {Aswini Vinay Soreng and Shyamalendu Kandar}, title = {Verifiable varying sized (m, n, n) multi-image secret sharing with combiner verification and cheater identification}, journal = {J. Vis. Commun. Image Represent.}, volume = {84}, pages = {103466}, year = {2022}, url = {https://doi.org/10.1016/j.jvcir.2022.103466}, doi = {10.1016/J.JVCIR.2022.103466}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvcir/SorengK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/SinghCNCY22, author = {Anirudh Singh and Amit Chougule and Pratik Narang and Vinay Chamola and F. Richard Yu}, title = {Low-Light Image Enhancement for UAVs With Multi-Feature Fusion Deep Neural Networks}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {19}, pages = {1--5}, year = {2022}, url = {https://doi.org/10.1109/LGRS.2022.3181106}, doi = {10.1109/LGRS.2022.3181106}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lgrs/SinghCNCY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/make/ChikkankodL22, author = {Arjun Vinayak Chikkankod and Luca Longo}, title = {On the Dimensionality and Utility of Convolutional Autoencoder's Latent Space Trained with Topology-Preserving Spectral {EEG} Head-Maps}, journal = {Mach. Learn. Knowl. Extr.}, volume = {4}, number = {4}, pages = {1042--1064}, year = {2022}, url = {https://doi.org/10.3390/make4040053}, doi = {10.3390/MAKE4040053}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/make/ChikkankodL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/KohliTCRK22, author = {Varun Kohli and Utkarsh Tripathi and Vinay Chamola and Bijay Kumar Rout and Salil S. Kanhere}, title = {A review on Virtual Reality and Augmented Reality use-cases of Brain Computer Interface based applications for smart cities}, journal = {Microprocess. Microsystems}, volume = {88}, pages = {104392}, year = {2022}, url = {https://doi.org/10.1016/j.micpro.2021.104392}, doi = {10.1016/J.MICPRO.2021.104392}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/KohliTCRK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/RamanCC22, author = {Sundaresan Raman and Amit Chougule and Vinay Chamola}, title = {A low power consumption mobile based IoT framework for real-time classification and segmentation for apple disease}, journal = {Microprocess. Microsystems}, volume = {94}, pages = {104656}, year = {2022}, url = {https://doi.org/10.1016/j.micpro.2022.104656}, doi = {10.1016/J.MICPRO.2022.104656}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/RamanCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mansci/HathawayED22, author = {Brett Alan Hathaway and Seyed Morteza Emadi and Vinayak Deshpande}, title = {Personalized Priority Policies in Call Centers Using Past Customer Interaction Information}, journal = {Manag. Sci.}, volume = {68}, number = {4}, pages = {2806--2823}, year = {2022}, url = {https://doi.org/10.1287/mnsc.2021.4021}, doi = {10.1287/MNSC.2021.4021}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mansci/HathawayED22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/AgostiniCZLTAMC22, author = {Nicolas Bohm Agostini and Serena Curzel and Jeff Jun Zhang and Ankur Limaye and Cheng Tan and Vinay Amatya and Marco Minutoli and Vito Giovanni Castellana and Joseph B. Manzano and David Brooks and Gu{-}Yeon Wei and Antonino Tumeo}, title = {Bridging Python to Silicon: The {SODA} Toolchain}, journal = {{IEEE} Micro}, volume = {42}, number = {5}, pages = {78--88}, year = {2022}, url = {https://doi.org/10.1109/MM.2022.3178580}, doi = {10.1109/MM.2022.3178580}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/AgostiniCZLTAMC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/VashishthaC22, author = {Vinay Vashishtha and Lawrence T. Clark}, title = {{ASAP5:} {A} predictive {PDK} for the 5 nm node}, journal = {Microelectron. J.}, volume = {126}, pages = {105481}, year = {2022}, url = {https://doi.org/10.1016/j.mejo.2022.105481}, doi = {10.1016/J.MEJO.2022.105481}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/VashishthaC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/AwasthiS22, author = {Divyanshu Awasthi and Vinay Kumar Srivastava}, title = {{LWT-DCT-SVD} and {DWT-DCT-SVD} based watermarking schemes with their performance enhancement using Jaya and Particle swarm optimization and comparison of results under various attacks}, journal = {Multim. Tools Appl.}, volume = {81}, number = {18}, pages = {25075--25099}, year = {2022}, url = {https://doi.org/10.1007/s11042-022-12456-4}, doi = {10.1007/S11042-022-12456-4}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/AwasthiS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ChandraK22, author = {Subhash Chandra and Vinay Kumar}, title = {A novel approach to validate online signature using dynamic features based on locally weighted learning}, journal = {Multim. Tools Appl.}, volume = {81}, number = {28}, pages = {40959--40976}, year = {2022}, url = {https://doi.org/10.1007/s11042-022-13159-6}, doi = {10.1007/S11042-022-13159-6}, timestamp = {Tue, 08 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/ChandraK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/JawaharJRAJMSK22, author = {Malathy Jawahar and Prassanna Jayachandran and Vinayakumar Ravi and L. Jani Anbarasi and S. Graceline Jasmine and Manikandan Ramachandran and Ramesh Sekaran and Suthendran Kannan}, title = {Computer-aided diagnosis of {COVID-19} from chest X-ray images using histogram-oriented gradient features and Random Forest classifier}, journal = {Multim. Tools Appl.}, volume = {81}, number = {28}, pages = {40451--40468}, year = {2022}, url = {https://doi.org/10.1007/s11042-022-13183-6}, doi = {10.1007/S11042-022-13183-6}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/JawaharJRAJMSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/KukrejaS22, author = {Vinay Kukreja and Sakshi}, title = {Machine learning models for mathematical symbol recognition: {A} stem to stern literature analysis}, journal = {Multim. Tools Appl.}, volume = {81}, number = {20}, pages = {28651--28687}, year = {2022}, url = {https://doi.org/10.1007/s11042-022-12644-2}, doi = {10.1007/S11042-022-12644-2}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/KukrejaS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/KumarK22, author = {Deepak Kumar and Vinay Kukreja}, title = {Deep learning in wheat diseases classification: {A} systematic review}, journal = {Multim. Tools Appl.}, volume = {81}, number = {7}, pages = {10143--10187}, year = {2022}, url = {https://doi.org/10.1007/s11042-022-12160-3}, doi = {10.1007/S11042-022-12160-3}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/KumarK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/MRSAPC22, author = {Ganesh Kumar M and Vinayakumar Ravi and V. Sowmya and Gopalakrishnan E. A and Soman K. P and Chinmay Chakraborty}, title = {Identification of intracranial haemorrhage {(ICH)} using ResNet with data augmentation using CycleGAN and {ICH} segmentation using SegAN}, journal = {Multim. Tools Appl.}, volume = {81}, number = {25}, pages = {36257--36273}, year = {2022}, url = {https://doi.org/10.1007/s11042-021-11478-8}, doi = {10.1007/S11042-021-11478-8}, timestamp = {Thu, 29 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/MRSAPC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/MadhuGRKSCK22, author = {Golla Madhu and A. Govardhan and Vinayakumar Ravi and Sandeep Kautish and B. Sunil Srinivas and Tanupriya Chaudhary and Manoj Kumar}, title = {DSCN-net: a deep Siamese capsule neural network model for automatic diagnosis of malaria parasites detection}, journal = {Multim. Tools Appl.}, volume = {81}, number = {23}, pages = {34105--34127}, year = {2022}, url = {https://doi.org/10.1007/s11042-022-13008-6}, doi = {10.1007/S11042-022-13008-6}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/MadhuGRKSCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/MahapatraSK22, author = {Surya Narayan Mahapatra and Binod Kumar Singh and Vinay Kumar}, title = {A secure multi-hop relay node selection scheme based data transmission in wireless ad-hoc network via block chain}, journal = {Multim. Tools Appl.}, volume = {81}, number = {13}, pages = {18343--18373}, year = {2022}, url = {https://doi.org/10.1007/s11042-022-12283-7}, doi = {10.1007/S11042-022-12283-7}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/MahapatraSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/NiralaSP22, author = {Krishna Kumar Nirala and Nikhil Kumar Singh and Vinay Shivshanker Purani}, title = {A survey on providing customer and public administration based services using {AI:} chatbot}, journal = {Multim. Tools Appl.}, volume = {81}, number = {16}, pages = {22215--22246}, year = {2022}, url = {https://doi.org/10.1007/s11042-021-11458-y}, doi = {10.1007/S11042-021-11458-Y}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/NiralaSP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/RamanSRC22, author = {Sundaresan Raman and Manan Soni and Rohit Ramaprasad and Vinay Chamola}, title = {{LWCNN:} a lightweight convolutional neural network for agricultural crop protection}, journal = {Multim. Tools Appl.}, volume = {81}, number = {16}, pages = {22323--22334}, year = {2022}, url = {https://doi.org/10.1007/s11042-021-11866-0}, doi = {10.1007/S11042-021-11866-0}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/RamanSRC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/CunninghamAAAAA22, author = {Fiona Cunningham and James E. Allen and Jamie Allen and Jorge {\'{A}}lvarez{-}Jarreta and M. Ridwan Amode and Irina M. Armean and Olanrewaju Austine{-}Orimoloye and Andrey G. Azov and If Barnes and Ruth Bennett and Andrew E. Berry and Jyothish Bhai and Alexandra Bignell and Konstantinos Billis and Sanjay Boddu and Lucy Brooks and Mehrnaz Charkhchi and Carla A. Cummins and Luca Da Rin Fioretto and Claire Davidson and Kamalkumar Jayantilal Dodiya and Sarah M. Donaldson and Bilal El Houdaigui and Tamara El Naboulsi and Reham Fatima and Carlos Garc{\'{\i}}a{-}Gir{\'{o}}n and Thiago A. L. Genez and Jose Gonzalez Martinez and Cristina Guijarro{-}Clarke and Arthur Gymer and Matthew Hardy and Zoe Hollis and Thibaut Hourlier and Toby Hunt and Thomas Juettemann and Vinay Kaikala and Mike P. Kay and Ilias Lavidas and Tuan Le and Diana Lemos and Jos{\'{e}} Carlos Marug{\'{a}}n and Shamika Mohanan and Aleena Mushtaq and Marc Naven and Denye N. Oheh and Anne Parker and Andrew Parton and Malcolm Perry and Ivana Pilizota and Irina Prosovetskaia and Manoj Pandian Sakthivel and Ahamed Imran Abdul Salam and Bianca M. Schmitt and Helen Schuilenburg and Dan Sheppard and Jos{\'{e}} G. P{\'{e}}rez{-}Silva and William Stark and Emily Steed and Ky{\"{o}}sti Sutinen and Ranjit Sukumaran and Dulika Sumathipala and Marie{-}Marthe Suner and Michal Szpak and Anja Thormann and Francesca Floriana Tricomi and David Urbina{-}G{\'{o}}mez and Andres Veidenberg and Thomas A. Walsh and Brandon Walts and Natalie L. Willhoft and Andrea Winterbottom and Elizabeth Wass and Marc Chakiachvili and Bethany Flint and Adam Frankish and Stefano Giorgetti and Leanne Haggerty and Sarah E. Hunt and Garth IIsley and Jane E. Loveland and Fergal J. Martin and Benjamin Moore and Jonathan M. Mudge and Matthieu Muffato and Emily Perry and Magali Ruffier and John G. Tate and David Thybert and Stephen J. Trevanion and Sarah Dyer and Peter W. Harrison and Kevin L. Howe and Andrew D. Yates and Daniel R. Zerbino and Paul Flicek}, title = {Ensembl 2022}, journal = {Nucleic Acids Res.}, volume = {50}, number = {{D1}}, pages = {988--995}, year = {2022}, url = {https://doi.org/10.1093/nar/gkab1049}, doi = {10.1093/NAR/GKAB1049}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nar/CunninghamAAAAA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/YatesAAABBBCMCC22, author = {Andrew D. Yates and James E. Allen and M. Ridwan Amode and Andrey G. Azov and Matthieu Barba and Andr{\'{e}}s Becerra and Jyothish Bhai and Lahcen I. Campbell and Manuel Carbajo Martinez and Marc Chakiachvili and Kapeel Chougule and Mikkel B. Christensen and Bruno Contreras{-}Moreira and Alayne Cuzick and Luca Da Rin Fioretto and Paul Davis and Nishadi De Silva and Stavros Diamantakis and Sarah Dyer and Justin Elser and Carla V. Filippi and Astrid Gall and Dionysios Grigoriadis and Cristina Guijarro{-}Clarke and Parul Gupta and Kim E. Hammond{-}Kosack and Kevin L. Howe and Pankaj Jaiswal and Vinay Kaikala and Vivek Kumar and Sunita Kumari and Nick Langridge and Tuan Le and Manuel Luypaert and Gareth Maslen and Thomas Maurel and Benjamin Moore and Matthieu Muffato and Aleena Mushtaq and Guy Naamati and Sushma Naithani and Andrew Olson and Anne Parker and Michael Paulini and Helder Pedro and Emily Perry and Justin Preece and Mark Quinton{-}Tulloch and Faye Rodgers and Marc Rosello and Magali Ruffier and James Seager and Vasily Sitnik and Michal Szpak and John G. Tate and Marcela K. Tello{-}Ruiz and Stephen J. Trevanion and Martin Urban and Doreen Ware and Sharon Wei and Gary Williams and Andrea Winterbottom and Magdalena Zarowiecki and Robert D. Finn and Paul Flicek}, title = {Ensembl Genomes 2022: an expanding genome resource for non-vertebrates}, journal = {Nucleic Acids Res.}, volume = {50}, number = {{D1}}, pages = {996--1003}, year = {2022}, url = {https://doi.org/10.1093/nar/gkab1007}, doi = {10.1093/NAR/GKAB1007}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/YatesAAABBBCMCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/SarkarVDM22, author = {Sobhan Sarkar and Sammangi Vinay and Chawki Djeddi and Jhareswar Maiti}, title = {Classification and pattern extraction of incidents: a deep learning-based approach}, journal = {Neural Comput. Appl.}, volume = {34}, number = {17}, pages = {14253--14274}, year = {2022}, url = {https://doi.org/10.1007/s00521-021-06780-3}, doi = {10.1007/S00521-021-06780-3}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/SarkarVDM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/netmahib/SinghS22, author = {Amit Kumar Singh and Vinay Kumar Srivastava}, title = {Bidirectional filtering approach for the improved protein coding region identification in eukaryotes}, journal = {Netw. Model. Anal. Health Informatics Bioinform.}, volume = {11}, number = {1}, pages = {13}, year = {2022}, url = {https://doi.org/10.1007/s13721-022-00358-2}, doi = {10.1007/S13721-022-00358-2}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/netmahib/SinghS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/netsci/CarscaddenKMRR22, author = {Henry L. Carscadden and Chris J. Kuhlman and Madhav V. Marathe and S. S. Ravi and Daniel J. Rosenkrantz}, title = {Techniques for blocking the propagation of two simultaneous contagions over networks using a graph dynamical systems framework}, journal = {Netw. Sci.}, volume = {10}, number = {3}, pages = {234--260}, year = {2022}, url = {https://doi.org/10.1017/nws.2022.18}, doi = {10.1017/NWS.2022.18}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/netsci/CarscaddenKMRR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/BansalCAS22, author = {Gaurang Bansal and Vinay Chamola and Nirwan Ansari and Biplab Sikdar}, title = {Scalable Topologies for Time-Optimal Authentication of {UAV} Swarms}, journal = {{IEEE} Netw.}, volume = {36}, number = {6}, pages = {126--132}, year = {2022}, url = {https://doi.org/10.1109/MNET.008.2100472}, doi = {10.1109/MNET.008.2100472}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/network/BansalCAS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/FeuerriegelMKMS22, author = {Daniel Feuerriegel and Mackenzie Murphy and Alexandra Konski and Vinay Mepani and Jie Sun and Robert Hester and Stefan Bode}, title = {Electrophysiological correlates of confidence differ across correct and erroneous perceptual decisions}, journal = {NeuroImage}, volume = {259}, pages = {119447}, year = {2022}, url = {https://doi.org/10.1016/j.neuroimage.2022.119447}, doi = {10.1016/J.NEUROIMAGE.2022.119447}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/FeuerriegelMKMS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pc/GarmonRP22, author = {Andrew Garmon and Vinay Ramakrishnaiah and Danny Perez}, title = {Resource allocation for task-level speculative scientific applications: {A} proof of concept using Parallel Trajectory Splicing}, journal = {Parallel Comput.}, volume = {112}, pages = {102936}, year = {2022}, url = {https://doi.org/10.1016/j.parco.2022.102936}, doi = {10.1016/J.PARCO.2022.102936}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pc/GarmonRP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pnas/RosenkrantzVRSL22, author = {Daniel J. Rosenkrantz and Anil Vullikanti and S. S. Ravi and Richard Edwin Stearns and Simon A. Levin and H. Vincent Poor and Madhav V. Marathe}, title = {Fundamental limitations on efficiently forecasting certain epidemic measures in network models}, journal = {Proc. Natl. Acad. Sci. {USA}}, volume = {119}, number = {4}, pages = {e2109228119}, year = {2022}, url = {https://doi.org/10.1073/pnas.2109228119}, doi = {10.1073/PNAS.2109228119}, timestamp = {Fri, 13 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pnas/RosenkrantzVRSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/popets/0001PPSV22, author = {Pankaj Dayama and Arpita Patra and Protik Paul and Nitin Singh and Dhinakaran Vinayagamurthy}, title = {How to prove any {NP} statement jointly? Efficient Distributed-prover Zero-Knowledge Protocols}, journal = {Proc. Priv. Enhancing Technol.}, volume = {2022}, number = {2}, pages = {517--556}, year = {2022}, url = {https://doi.org/10.2478/popets-2022-0055}, doi = {10.2478/POPETS-2022-0055}, timestamp = {Sat, 12 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/popets/0001PPSV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppl/SrivastavaAP22, author = {Rajat Srivastava and Vinay Avasthi and R. Krishna Priya}, title = {Self-Adaptive Optimization Assisted Deep Learning Model for Partial Discharge Recognition}, journal = {Parallel Process. Lett.}, volume = {32}, number = {1{\&}2}, pages = {2150024:1--2150024:24}, year = {2022}, url = {https://doi.org/10.1142/S0129626421500249}, doi = {10.1142/S0129626421500249}, timestamp = {Fri, 12 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ppl/SrivastavaAP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/PatroAN22, author = {Badri N. Patro and Anupriy and Vinay P. Namboodiri}, title = {Explanation vs. attention: {A} two-player game to obtain attention for {VQA} and visual dialog}, journal = {Pattern Recognit.}, volume = {132}, pages = {108898}, year = {2022}, url = {https://doi.org/10.1016/j.patcog.2022.108898}, doi = {10.1016/J.PATCOG.2022.108898}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pr/PatroAN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qre/MamdikarKSC22, author = {Mohan Rao Mamdikar and Vinay Kumar and Pooja Singh and Subhash Chandra}, title = {Availability and security analysis of business-critical systems: {A} case study of e-commerce business process}, journal = {Qual. Reliab. Eng. Int.}, volume = {38}, number = {4}, pages = {2218--2232}, year = {2022}, url = {https://doi.org/10.1002/qre.3052}, doi = {10.1002/QRE.3052}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/qre/MamdikarKSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/NiSG22, author = {Yu{-}Shu Ni and Vinay Malligere Shivanna and Jiun{-}In Guo}, title = {iVS Dataset and ezLabel: {A} Dataset and a Data Annotation Tool for Deep Learning Based {ADAS} Applications}, journal = {Remote. Sens.}, volume = {14}, number = {4}, pages = {833}, year = {2022}, url = {https://doi.org/10.3390/rs14040833}, doi = {10.3390/RS14040833}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/NiSG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WangOGHP22, author = {Yeniu Mickey Wang and Bertram Ostendorf and Deepak Gautam and Nuredin Habili and Vinay Pagay}, title = {Plant Viral Disease Detection: From Molecular Diagnosis to Optical Sensing Technology - {A} Multidisciplinary Review}, journal = {Remote. Sens.}, volume = {14}, number = {7}, pages = {1542}, year = {2022}, url = {https://doi.org/10.3390/rs14071542}, doi = {10.3390/RS14071542}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/WangOGHP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scientometrics/PowellHP22, author = {Kerrington Powell and Alyson Haslam and Vinay Prasad}, title = {The Kardashian Index: a study of researchers' opinions on twitter 2014-2021}, journal = {Scientometrics}, volume = {127}, number = {4}, pages = {1923--1930}, year = {2022}, url = {https://doi.org/10.1007/s11192-022-04281-1}, doi = {10.1007/S11192-022-04281-1}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scientometrics/PowellHP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AnushaAPABSNCV22, author = {Anusha and Aninamol Ani and P. Poornesh and Albin Antony and Bhaghyesh and Igor V. Shchetinin and K. K. Nagaraja and Saikat Chattopadhyay and K. B. Vinayakumar}, title = {Impact of Ag on the Limit of Detection towards NH3-Sensing in Spray-Coated {WO3} Thin-Films}, journal = {Sensors}, volume = {22}, number = {5}, pages = {2033}, year = {2022}, url = {https://doi.org/10.3390/s22052033}, doi = {10.3390/S22052033}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/AnushaAPABSNCV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/FangVRKR22, author = {Shanpu Fang and Vinayak Vijayan and Megan E. Reissman and Allison L. Kinney and Timothy Reissman}, title = {How Do Joint Kinematics and Kinetics Change When Walking Overground with Added Mass on the Lower Body?}, journal = {Sensors}, volume = {22}, number = {23}, pages = {9177}, year = {2022}, url = {https://doi.org/10.3390/s22239177}, doi = {10.3390/S22239177}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/FangVRKR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KaurBKSSY22, author = {Amanpreet Kaur and Gurpreet Singh Bhamra and Vinay Kukreja and Sparsh Sharma and Saurabh Singh and Byungun Yoon}, title = {Adaptation of IoT with Blockchain in Food Supply Chain Management: An Analysis-Based Review in Development, Benefits and Potential Applications}, journal = {Sensors}, volume = {22}, number = {21}, pages = {8174}, year = {2022}, url = {https://doi.org/10.3390/s22218174}, doi = {10.3390/S22218174}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KaurBKSSY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/RathodDKPGKKAG22, author = {Manish Rathod and Chirag Dalvi and Kulveen Kaur and Shruti Patil and Shilpa Gite and Pooja Kamat and Ketan Kotecha and Ajith Abraham and Lubna Abdel Kareim Gabralla}, title = {Kids' Emotion Recognition Using Various Deep-Learning Models with Explainable {AI}}, journal = {Sensors}, volume = {22}, number = {20}, pages = {8066}, year = {2022}, url = {https://doi.org/10.3390/s22208066}, doi = {10.3390/S22208066}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/RathodDKPGKKAG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ReichertKMKSKA22, author = {Christoph Reichert and Lisa Klemm and Raghava Vinaykanth Mushunuri and Avinash Kalyani and Stefanie Schreiber and Esther Kuehn and Elena Aza{\~{n}}{\'{o}}n}, title = {Discriminating Free Hand Movements Using Support Vector Machine and Recurrent Neural Network Algorithms}, journal = {Sensors}, volume = {22}, number = {16}, pages = {6101}, year = {2022}, url = {https://doi.org/10.3390/s22166101}, doi = {10.3390/S22166101}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ReichertKMKSKA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/VijayanFRRK22, author = {Vinayak Vijayan and Shanpu Fang and Timothy Reissman and Megan E. Reissman and Allison L. Kinney}, title = {How Does Added Mass Affect the Gait of Middle-Aged Adults? An Assessment Using Statistical Parametric Mapping}, journal = {Sensors}, volume = {22}, number = {16}, pages = {6154}, year = {2022}, url = {https://doi.org/10.3390/s22166154}, doi = {10.3390/S22166154}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/VijayanFRRK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpra/ChalapathiCJAB22, author = {G. Sai Sesha Chalapathi and Vinay Chamola and Wafa Johal and Jagannath Aryal and Rajkumar Buyya}, title = {Energy and latency aware mobile task assignment for green cloudlets}, journal = {Simul. Model. Pract. Theory}, volume = {118}, pages = {102531}, year = {2022}, url = {https://doi.org/10.1016/j.simpat.2022.102531}, doi = {10.1016/J.SIMPAT.2022.102531}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/simpra/ChalapathiCJAB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/BansalCSY22, author = {Gaurang Bansal and Vinay Chamola and Biplab Sikdar and Fei Richard Yu}, title = {{UAV} SECaaS: Game-Theoretic Formulation for Security as a Service in {UAV} Swarms}, journal = {{IEEE} Syst. J.}, volume = {16}, number = {4}, pages = {6209--6218}, year = {2022}, url = {https://doi.org/10.1109/JSYST.2021.3116213}, doi = {10.1109/JSYST.2021.3116213}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sj/BansalCSY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/software/ClarkKWB22, author = {Tony Clark and Vinay Kulkarni and Jon Whittle and Ruth Breu}, title = {Engineering Digital Twin-Enabled Systems}, journal = {{IEEE} Softw.}, volume = {39}, number = {2}, pages = {16--19}, year = {2022}, url = {https://doi.org/10.1109/MS.2021.3136325}, doi = {10.1109/MS.2021.3136325}, timestamp = {Tue, 24 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/software/ClarkKWB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sosym/SunkleSPK22, author = {Sagar Sunkle and Krati Saxena and Ashwini Patil and Vinay Kulkarni}, title = {AI-driven streamlined modeling: experiences and lessons learned from multiple domains}, journal = {Softw. Syst. Model.}, volume = {21}, number = {3}, pages = {1--23}, year = {2022}, url = {https://doi.org/10.1007/s10270-022-00982-6}, doi = {10.1007/S10270-022-00982-6}, timestamp = {Fri, 29 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sosym/SunkleSPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spe/RajR22, author = {Vinay Raj and Sadam Ravichandra}, title = {A service graph based extraction of microservices from monolith services of service-oriented architecture}, journal = {Softw. Pract. Exp.}, volume = {52}, number = {7}, pages = {1661--1678}, year = {2022}, url = {https://doi.org/10.1002/spe.3081}, doi = {10.1002/SPE.3081}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spe/RajR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/suscom/HassijaRCAMKB22, author = {Vikas Hassija and Rahul Ratnakumar and Vinay Chamola and Soumya Agarwal and Aryan Mehra and Salil S. Kanhere and Huynh Thi Thanh Binh}, title = {A machine learning and blockchain based secure and cost-effective framework for minor medical consultations}, journal = {Sustain. Comput. Informatics Syst.}, volume = {35}, pages = {100651}, year = {2022}, url = {https://doi.org/10.1016/j.suscom.2021.100651}, doi = {10.1016/J.SUSCOM.2021.100651}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/suscom/HassijaRCAMKB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taccess/SharmaMB22, author = {Vinay Krishna Sharma and L. R. D. Murthy and Pradipta Biswas}, title = {Comparing Two Safe Distance Maintenance Algorithms for a Gaze-Controlled {HRI} Involving Users with {SSMI}}, journal = {{ACM} Trans. Access. Comput.}, volume = {15}, number = {3}, pages = {27:1--27:23}, year = {2022}, url = {https://doi.org/10.1145/3530822}, doi = {10.1145/3530822}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taccess/SharmaMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/NarayaneK22, author = {Vinay B. Narayane and Girish Kumar}, title = {A Selective Wideband Bandpass Filter With Wide Stopband Using Mixed Lumped-Distributed Circuits}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {69}, number = {9}, pages = {3764--3768}, year = {2022}, url = {https://doi.org/10.1109/TCSII.2022.3173472}, doi = {10.1109/TCSII.2022.3173472}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/NarayaneK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/VaishampayanB22, author = {Vinay A. Vaishampayan and Maiara F. Bollauf}, title = {Interactive Nearest Lattice Point Search in a Distributed Setting: Two Dimensions}, journal = {{IEEE} Trans. Commun.}, volume = {70}, number = {8}, pages = {5128--5139}, year = {2022}, url = {https://doi.org/10.1109/TCOMM.2022.3184170}, doi = {10.1109/TCOMM.2022.3184170}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/VaishampayanB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/telsys/BaggaDCG22, author = {Palak Bagga and Ashok Kumar Das and Vinay Chamola and Mohsen Guizani}, title = {Blockchain-envisioned access control for internet of things applications: a comprehensive survey and future directions}, journal = {Telecommun. Syst.}, volume = {81}, number = {1}, pages = {125--173}, year = {2022}, url = {https://doi.org/10.1007/s11235-022-00938-7}, doi = {10.1007/S11235-022-00938-7}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/telsys/BaggaDCG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tist/GuptaBBD22, author = {Vinayak Gupta and Srikanta Bedathur and Sourangshu Bhattacharya and Abir De}, title = {Modeling Continuous Time Sequences with Intermittent Observations using Marked Temporal Point Processes}, journal = {{ACM} Trans. Intell. Syst. Technol.}, volume = {13}, number = {6}, pages = {103:1--103:26}, year = {2022}, url = {https://doi.org/10.1145/3545118}, doi = {10.1145/3545118}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tist/GuptaBBD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/SharmaSAC22, author = {Pulkit Sharma and Farah E. Shamout and Vinayak Abrol and David A. Clifton}, title = {Data Pre-Processing Using Neural Processes for Modeling Personalized Vital-Sign Time-Series Data}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {26}, number = {4}, pages = {1528--1537}, year = {2022}, url = {https://doi.org/10.1109/JBHI.2021.3107518}, doi = {10.1109/JBHI.2021.3107518}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/SharmaSAC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/AgrawalAACB22, author = {Kushagra Agrawal and Tejasvi Alladi and Ayush Agrawal and Vinay Chamola and Abderrahim Benslimane}, title = {NovelADS: {A} Novel Anomaly Detection System for Intra-Vehicular Networks}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {23}, number = {11}, pages = {22596--22606}, year = {2022}, url = {https://doi.org/10.1109/TITS.2022.3146024}, doi = {10.1109/TITS.2022.3146024}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tits/AgrawalAACB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/MehraMNC22, author = {Aryan Mehra and Murari Mandal and Pratik Narang and Vinay Chamola}, title = {Correction to "ReViewNet: {A} Fast and Resource Optimized Network for Enabling Safe Autonomous Driving in Hazy Weather Conditions"}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {23}, number = {3}, pages = {2888}, year = {2022}, url = {https://doi.org/10.1109/TITS.2020.3028669}, doi = {10.1109/TITS.2020.3028669}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/MehraMNC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/SinhaBCVD22, author = {Amolika Sinha and Daniel Bassil and Sai Chand and Navreet Virdi and Vinayak V. Dixit}, title = {Impact of Connected Automated Buses in a Mixed Fleet Scenario With Connected Automated Cars}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {23}, number = {8}, pages = {11982--11993}, year = {2022}, url = {https://doi.org/10.1109/TITS.2021.3109142}, doi = {10.1109/TITS.2021.3109142}, timestamp = {Mon, 07 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/SinhaBCVD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/WuLLZDW22, author = {Wei Wu and Yang Liu and Wei Liu and Fangni Zhang and Vinayak V. Dixit and S. Travis Waller}, title = {Autonomous Intersection Management for Connected and Automated Vehicles: {A} Lane-Based Method}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {23}, number = {9}, pages = {15091--15106}, year = {2022}, url = {https://doi.org/10.1109/TITS.2021.3136910}, doi = {10.1109/TITS.2021.3136910}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/WuLLZDW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmlr/SenANJ22, author = {Bipasha Sen and Aditya Agarwal and Vinay P. Namboodiri and C. V. Jawahar}, title = {{INR-V:} {A} Continuous Representation Space for Video-based Generative Tasks}, journal = {Trans. Mach. Learn. Res.}, volume = {2022}, year = {2022}, url = {https://openreview.net/forum?id=aIoEkwc2oB}, timestamp = {Fri, 19 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmlr/SenANJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnsm/PraveenDJCG22, author = {Gorla Praveen and Anuj Deshmukh and Sandeep Joshi and Vinay Chamola and Mohsen Guizani}, title = {A Game Theoretic Analysis for Power Management and Cost Optimization of Green Base Stations in 5G and Beyond Communication Networks}, journal = {{IEEE} Trans. Netw. Serv. Manag.}, volume = {19}, number = {3}, pages = {2714--2725}, year = {2022}, url = {https://doi.org/10.1109/TNSM.2022.3149593}, doi = {10.1109/TNSM.2022.3149593}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnsm/PraveenDJCG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnsm/RaghuramuCSSKCL22, author = {Arun Raghuramu and Lianjie Cao and Puneet Sharma and Mario S{\'{a}}nchez and Joon{-}Myung Kang and Chen{-}Nee Chuah and David Lee and Vinay Saxena}, title = {Metered Boot: Trusted Framework for Application Usage Rights Management in Virtualized Ecosystems}, journal = {{IEEE} Trans. Netw. Serv. Manag.}, volume = {19}, number = {3}, pages = {2238--2250}, year = {2022}, url = {https://doi.org/10.1109/TNSM.2022.3159191}, doi = {10.1109/TNSM.2022.3159191}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnsm/RaghuramuCSSKCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsas/MehrabAMVS22, author = {Zakaria Mehrab and Aniruddha Adiga and Madhav V. Marathe and Srinivasan Venkatramanan and Samarth Swarup}, title = {Evaluating the Utility of High-Resolution Proximity Metrics in Predicting the Spread of {COVID-19}}, journal = {{ACM} Trans. Spatial Algorithms Syst.}, volume = {8}, number = {4}, pages = {26:1--26:51}, year = {2022}, url = {https://doi.org/10.1145/3531006}, doi = {10.1145/3531006}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsas/MehrabAMVS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/ReddyAGBB22, author = {Tharun Kumar Reddy and Vipul Arora and Vinay Gupta and Rupam Biswas and Laxmidhar Behera}, title = {EEG-Based Drowsiness Detection With Fuzzy Independent Phase-Locking Value Representations Using Lagrangian-Based Deep Neural Networks}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {52}, number = {1}, pages = {101--111}, year = {2022}, url = {https://doi.org/10.1109/TSMC.2021.3113823}, doi = {10.1109/TSMC.2021.3113823}, timestamp = {Mon, 06 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsmc/ReddyAGBB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/EliasGMW22, author = {Vitor Rosa Meireles Elias and Vinay Chakravarthi Gogineni and Wallace A. Martins and Stefan Werner}, title = {Kernel Regression Over Graphs Using Random Fourier Features}, journal = {{IEEE} Trans. Signal Process.}, volume = {70}, pages = {936--949}, year = {2022}, url = {https://doi.org/10.1109/TSP.2022.3149134}, doi = {10.1109/TSP.2022.3149134}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsp/EliasGMW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/KrishnamurthyAS22, author = {Vinayak R. Krishnamurthy and Ergun Akleman and Sai Ganesh Subramanian and Matthew Ebert and Jiaqi Cui and Chia{-}An Fu and Courtney Starrett}, title = {Geometrically Interlocking Space-Filling Tiling Based on Fabric Weaves}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {28}, number = {10}, pages = {3391--3404}, year = {2022}, url = {https://doi.org/10.1109/TVCG.2021.3065457}, doi = {10.1109/TVCG.2021.3065457}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvcg/KrishnamurthyAS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/AlexGMW22, author = {Daney Alex and Vinay Chakravarthi Gogineni and Subrahmanyam Mula and Stefan Werner}, title = {Novel {VLSI} Architecture for Fractional-Order Correntropy Adaptive Filtering Algorithm}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {30}, number = {7}, pages = {893--904}, year = {2022}, url = {https://doi.org/10.1109/TVLSI.2022.3169010}, doi = {10.1109/TVLSI.2022.3169010}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/AlexGMW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ChakravarthySNM22, author = {Anirudh Srinivasan Chakravarthy and Soumendu Sinha and Pratik Narang and Murari Mandal and Vinay Chamola and F. Richard Yu}, title = {DroneSegNet: Robust Aerial Semantic Segmentation for UAV-Based IoT Applications}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {71}, number = {4}, pages = {4277--4286}, year = {2022}, url = {https://doi.org/10.1109/TVT.2022.3144358}, doi = {10.1109/TVT.2022.3144358}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ChakravarthySNM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/KumarYSPTJ22, author = {Vinay Kumar and Sadanand Yadav and Anand Sharma and Arun Prakash and Rajeev Tripathi and Dushantha Nalin K. Jayakody}, title = {3D-multilayer magneto-inductive transceiver coil structure and optimal placement of relays for non-conventional media}, journal = {Wirel. Networks}, volume = {28}, number = {5}, pages = {2115--2129}, year = {2022}, url = {https://doi.org/10.1007/s11276-022-02949-3}, doi = {10.1007/S11276-022-02949-3}, timestamp = {Wed, 15 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/KumarYSPTJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/ChethanV22, author = {G. S. Chethan and S. Vinay}, title = {A Novel Analytical Framework for Educational Intelli-gence-as-a-Service}, journal = {Wirel. Pers. Commun.}, volume = {123}, number = {2}, pages = {1753--1767}, year = {2022}, url = {https://doi.org/10.1007/s11277-021-09211-7}, doi = {10.1007/S11277-021-09211-7}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/ChethanV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/YaminiSAR22, author = {K. Anish Pon Yamini and K. Suthendran and T. Arivoli and Vinayakumar Ravi}, title = {New Approach for Advanced Energy Efficiency in {MANET} {(AEE-M)} by Improving Optimized Link State Routing Protocol Version 2 (OLSRv2)}, journal = {Wirel. Pers. Commun.}, volume = {125}, number = {3}, pages = {2369--2392}, year = {2022}, url = {https://doi.org/10.1007/s11277-022-09663-5}, doi = {10.1007/S11277-022-09663-5}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/YaminiSAR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/0001ACSVM22, author = {Lijing Wang and Aniruddha Adiga and Jiangzhuo Chen and Adam Sadilek and Srinivasan Venkatramanan and Madhav V. Marathe}, title = {CausalGNN: Causal-Based Graph Neural Networks for Spatio-Temporal Epidemic Forecasting}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {12191--12199}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i11.21479}, doi = {10.1609/AAAI.V36I11.21479}, timestamp = {Sat, 21 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/0001ACSVM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/GuptaBD22, author = {Vinayak Gupta and Srikanta Bedathur and Abir De}, title = {Learning Temporal Point Processes for Efficient Retrieval of Continuous Time Event Sequences}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {4005--4013}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i4.20317}, doi = {10.1609/AAAI.V36I4.20317}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/GuptaBD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/MassartA22, author = {Estelle M. Massart and Vinayak Abrol}, title = {Coordinate Descent on the Orthogonal Group for Recurrent Neural Network Training}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {7744--7751}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i7.20742}, doi = {10.1609/AAAI.V36I7.20742}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/MassartA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/MehrabWCHLTCKSP22, author = {Zakaria Mehrab and Mandy L. Wilson and Serina Chang and Galen Harrison and Bryan L. Lewis and Alex Telionis and Justin Crow and Dennis Kim and Scott Spillmann and Kate Peters and Jure Leskovec and Madhav V. Marathe}, title = {Data-Driven Real-Time Strategic Placement of Mobile Vaccine Distribution Sites}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {12573--12579}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i11.21529}, doi = {10.1609/AAAI.V36I11.21529}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/MehrabWCHLTCKSP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/QiuCMRRSV22, author = {Zirou Qiu and Chen Chen and Madhav V. Marathe and S. S. Ravi and Daniel J. Rosenkrantz and Richard Edwin Stearns and Anil Vullikanti}, title = {Finding Nontrivial Minimum Fixed Points in Discrete Dynamical Systems: Complexity, Special Case Algorithms and Heuristics}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {9422--9430}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i9.21174}, doi = {10.1609/AAAI.V36I9.21174}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aaai/QiuCMRRSV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl-insights/KumarKBR22, author = {Vinayshekhar Bannihatti Kumar and Vaibhav Kumar and Mukul Bhutani and Alexander Rudnicky}, editor = {Shabnam Tafreshi and Jo{\~{a}}o Sedoc and Anna Rogers and Aleksandr Drozd and Anna Rumshisky and Arjun R. Akula}, title = {An Empirical study to understand the Compositional Prowess of Neural Dialog Models}, booktitle = {Proceedings of the Third Workshop on Insights from Negative Results in NLP, Insights@ACL 2022, Dublin, Ireland, May 26, 2022}, pages = {154--158}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.insights-1.21}, doi = {10.18653/V1/2022.INSIGHTS-1.21}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl-insights/KumarKBR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl-nllp/GarimellaSAGCK22, author = {Aparna Garimella and Abhilasha Sancheti and Vinay Aggarwal and Ananya Ganesh and Niyati Chhaya and Nandakishore Kambhatla}, editor = {Nikolaos Aletras and Ilias Chalkidis and Leslie Barrett and Catalina Goanta and Daniel Preotiuc{-}Pietro}, title = {Text Simplification for Legal Domain: Insights and Challenges}, booktitle = {Proceedings of the Natural Legal Language Processing Workshop, NLLP@EMNLP 2022, Abu Dhabi, United Arab Emirates (Hybrid), December 8, 2022}, pages = {296--304}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.nllp-1.28}, doi = {10.18653/V1/2022.NLLP-1.28}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl-nllp/GarimellaSAGCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acssc/GauthierGWHK22, author = {Fran{\c{c}}ois Gauthier and Vinay Chakravarthi Gogineni and Stefan Werner and Yih{-}Fang Huang and Anthony Kuh}, title = {Clustered Graph Federated Personalized Learning}, booktitle = {56th Asilomar Conference on Signals, Systems, and Computers, {ACSSC} 2022, Pacific Grove, CA, USA, October 31 - Nov. 2, 2022}, pages = {744--748}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IEEECONF56349.2022.10051979}, doi = {10.1109/IEEECONF56349.2022.10051979}, timestamp = {Fri, 24 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acssc/GauthierGWHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aiml2/KamathSGPA22, author = {Vinayaka Kamath and Eva Sinclair and Damon Gilkerson and Venkat N. Padmanabhan and Sreangsu Acharyya}, title = {Modeling Email Server {I/O} Events As Multi-temporal Point Processes}, booktitle = {Proceedings of the Second International Conference on {AI-ML} Systems, AIMLSystems 2022, Bangalore, India, October 12-15, 2022}, pages = {6:1--6:9}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3564121.3564129}, doi = {10.1145/3564121.3564129}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aiml2/KamathSGPA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aistats/JainSGMNVKDRK22, author = {Shubham Anand Jain and Rohan Shah and Sanit Gupta and Denil Mehta and Inderjeet J. Nair and Jian Vora and Sushil Khyalia and Sourav Das and Vinay J. Ribeiro and Shivaram Kalyanakrishnan}, editor = {Gustau Camps{-}Valls and Francisco J. R. Ruiz and Isabel Valera}, title = {{PAC} Mode Estimation using {PPR} Martingale Confidence Sequences}, booktitle = {International Conference on Artificial Intelligence and Statistics, {AISTATS} 2022, 28-30 March 2022, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {151}, pages = {5815--5852}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v151/anand-jain22a.html}, timestamp = {Sat, 30 Sep 2023 09:34:08 +0200}, biburl = {https://dblp.org/rec/conf/aistats/JainSGMNVKDRK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/annsim/BaratMYBKSBTKYM22, author = {Souvik Barat and Dushyanthi Mulpuru and Abhishek Yadav and Anwesha Basu and Vinay Kulkarni and Savitha Samudrala and Avinash Bhide and Prabha Thomas and Keerthi Krishna and Arun Yadav and Abhijit Mazumder}, editor = {Cristina Ruiz Martin and Niloufar Emami and Mar{\'{\i}}a Julia Blas and Roya Rezaee}, title = {A Digital Twin Based Approach For Ensuring Business Continuity Plan And Safe Return To Workplace}, booktitle = {Annual Modeling and Simulation Conference, {ANNSIM} 2022, San Diego, CA, USA, July 18-20, 2022}, pages = {126--139}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/ANNSIM55834.2022.9859321}, doi = {10.23919/ANNSIM55834.2022.9859321}, timestamp = {Fri, 02 Sep 2022 18:51:53 +0200}, biburl = {https://dblp.org/rec/conf/annsim/BaratMYBKSBTKYM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/IslamMMSV22, author = {Kazi Ashik Islam and Madhav V. Marathe and Henning S. Mortveit and Samarth Swarup and Anil Vullikanti}, editor = {Piotr Faliszewski and Viviana Mascardi and Catherine Pelachaud and Matthew E. Taylor}, title = {Data-driven Agent-based Models for Optimal Evacuation of Large Metropolitan Areas for Improved Disaster Planning}, booktitle = {21st International Conference on Autonomous Agents and Multiagent Systems, {AAMAS} 2022, Auckland, New Zealand, May 9-13, 2022}, pages = {1639--1641}, publisher = {International Foundation for Autonomous Agents and Multiagent Systems {(IFAAMAS)}}, year = {2022}, url = {https://www.ifaamas.org/Proceedings/aamas2022/pdfs/p1639.pdf}, doi = {10.5555/3535850.3536061}, timestamp = {Mon, 18 Jul 2022 17:13:00 +0200}, biburl = {https://dblp.org/rec/conf/atal/IslamMMSV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/LiHLMSV022, author = {George Z. Li and Arash Haddadan and Ann Li and Madhav V. Marathe and Aravind Srinivasan and Anil Vullikanti and Zeyu Zhao}, editor = {Piotr Faliszewski and Viviana Mascardi and Catherine Pelachaud and Matthew E. Taylor}, title = {Theoretical Models and Preliminary Results for Contact Tracing and Isolation}, booktitle = {21st International Conference on Autonomous Agents and Multiagent Systems, {AAMAS} 2022, Auckland, New Zealand, May 9-13, 2022}, pages = {1672--1674}, publisher = {International Foundation for Autonomous Agents and Multiagent Systems {(IFAAMAS)}}, year = {2022}, url = {https://www.ifaamas.org/Proceedings/aamas2022/pdfs/p1672.pdf}, doi = {10.5555/3535850.3536072}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/atal/LiHLMSV022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/LiLMSTV22, author = {George Z. Li and Ann Li and Madhav V. Marathe and Aravind Srinivasan and Leonidas Tsepenekas and Anil Vullikanti}, editor = {Piotr Faliszewski and Viviana Mascardi and Catherine Pelachaud and Matthew E. Taylor}, title = {Deploying Vaccine Distribution Sites for Improved Accessibility and Equity to Support Pandemic Response}, booktitle = {21st International Conference on Autonomous Agents and Multiagent Systems, {AAMAS} 2022, Auckland, New Zealand, May 9-13, 2022}, pages = {789--797}, publisher = {International Foundation for Autonomous Agents and Multiagent Systems {(IFAAMAS)}}, year = {2022}, url = {https://www.ifaamas.org/Proceedings/aamas2022/pdfs/p789.pdf}, doi = {10.5555/3535850.3535939}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/atal/LiLMSTV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/automotiveUI/MukhopadhyaySGS22, author = {Abhishek Mukhopadhyay and Vinay Krishna Sharma and Prashant Tatyarao Gaikwad and Ajay Kumar Sandula and Pradipta Biswas}, title = {Exploring the Use of {XR} Interfaces for Driver Assistance in Take Over Request}, booktitle = {AutomotiveUI '22: 14th International Conference on Automotive User Interfaces and Interactive Vehicular Applications - Adjunct Proceedings, Seoul, Republic of Korea, September 17 - 20, 2022}, pages = {58--61}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3544999.3552527}, doi = {10.1145/3544999.3552527}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/automotiveUI/MukhopadhyaySGS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/AdigaKHWPVLM22, author = {Aniruddha Adiga and Gursharn Kaur and Benjamin Hurt and Lijing Wang and Przemyslaw J. Porebski and Srinivasan Venkatramanan and Bryan L. Lewis and Madhav V. Marathe}, editor = {Shusaku Tsumoto and Yukio Ohsawa and Lei Chen and Dirk Van den Poel and Xiaohua Hu and Yoichi Motomura and Takuya Takagi and Lingfei Wu and Ying Xie and Akihiro Abe and Vijay Raghavan}, title = {Enhancing {COVID-19} Ensemble Forecasting Model Performance Using Auxiliary Data Sources}, booktitle = {{IEEE} International Conference on Big Data, Big Data 2022, Osaka, Japan, December 17-20, 2022}, pages = {1594--1603}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BigData55660.2022.10020579}, doi = {10.1109/BIGDATA55660.2022.10020579}, timestamp = {Fri, 18 Aug 2023 17:39:03 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/AdigaKHWPVLM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/ThorveVMSM22, author = {Swapna Thorve and Anil Vullikanti and Henning S. Mortveit and Samarth Swarup and Madhav V. Marathe}, editor = {Shusaku Tsumoto and Yukio Ohsawa and Lei Chen and Dirk Van den Poel and Xiaohua Hu and Yoichi Motomura and Takuya Takagi and Lingfei Wu and Ying Xie and Akihiro Abe and Vijay Raghavan}, title = {Fidelity and diversity metrics for validating hierarchical synthetic data: Application to residential energy demand}, booktitle = {{IEEE} International Conference on Big Data, Big Data 2022, Osaka, Japan, December 17-20, 2022}, pages = {1377--1382}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BigData55660.2022.10020837}, doi = {10.1109/BIGDATA55660.2022.10020837}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/ThorveVMSM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/AgarwalGMNJ22, author = {Madhav Agarwal and Anchit Gupta and Rudrabha Mukhopadhyay and Vinay P. Namboodiri and C. V. Jawahar}, title = {Compressing Video Calls using Synthetic Talking Heads}, booktitle = {33rd British Machine Vision Conference 2022, {BMVC} 2022, London, UK, November 21-24, 2022}, pages = {289}, publisher = {{BMVA} Press}, year = {2022}, url = {https://bmvc2022.mpi-inf.mpg.de/289/}, timestamp = {Thu, 16 Feb 2023 16:15:04 +0100}, biburl = {https://dblp.org/rec/conf/bmvc/AgarwalGMNJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccgrid/JangirKUMN22, author = {Yash Jangir and Rohan Kumar and Nrupesh Surya U and Manik Mahajan and Vinayak Naik}, title = {A Cloud-based Architecture using Micro-services for the IoT-based Applications}, booktitle = {22nd {IEEE} International Symposium on Cluster, Cloud and Internet Computing, CCGrid 2022, Taormina, Italy, May 16-19, 2022}, pages = {893--898}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CCGrid54584.2022.00107}, doi = {10.1109/CCGRID54584.2022.00107}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccgrid/JangirKUMN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/AggarwalVGSCV22, author = {Vinay Aggarwal and Praneetha Vaddamanu and Bhanu Prakash Reddy Guda and Balaji Vasan Srinivasan and Niyati Chhaya and Vishwa Vinay}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma}, title = {NeurTEx: {A} Neural Framework for Template Extraction from Flat Images}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022, Extended Abstracts}, pages = {408:1--408:7}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491101.3519718}, doi = {10.1145/3491101.3519718}, timestamp = {Wed, 04 May 2022 13:02:17 +0200}, biburl = {https://dblp.org/rec/conf/chi/AggarwalVGSCV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/VaddamanuAGSC22, author = {Praneetha Vaddamanu and Vinay Aggarwal and Bhanu Prakash Reddy Guda and Balaji Vasan Srinivasan and Niyati Chhaya}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma}, title = {Harmonized Banner Creation from Multimodal Design Assets}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022, Extended Abstracts}, pages = {217:1--217:7}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491101.3519610}, doi = {10.1145/3491101.3519610}, timestamp = {Mon, 02 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/VaddamanuAGSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/IslamCMMSV22, author = {Kazi Ashik Islam and Da Qi Chen and Madhav V. Marathe and Henning S. Mortveit and Samarth Swarup and Anil Vullikanti}, editor = {Mohammad Al Hasan and Li Xiong}, title = {Incorporating Fairness in Large-scale Evacuation Planning}, booktitle = {Proceedings of the 31st {ACM} International Conference on Information {\&} Knowledge Management, Atlanta, GA, USA, October 17-21, 2022}, pages = {3192--3201}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3511808.3557075}, doi = {10.1145/3511808.3557075}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/IslamCMMSV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cinc/ImranGMSAM22, author = {Zaria Imran and Ethan Grooby and Vinayaka Vivekananda Malgi and Chiranjibi Sitaula and Sunil Aryal and Faezeh Marzbanrad}, title = {A Fusion of Handcrafted Feature-Based and Deep Learning Classifiers for Heart Murmur Detection}, booktitle = {Computing in Cardiology, CinC 2022, Tampere, Finland, September 4-7, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.22489/CinC.2022.310}, doi = {10.22489/CINC.2022.310}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cinc/ImranGMSAM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ciss/GogineniWHK22, author = {Vinay Chakravarthi Gogineni and Stefan Werner and Yih{-}Fang Huang and Anthony Kuh}, title = {Decentralized Graph Federated Multitask Learning for Streaming Data}, booktitle = {56th Annual Conference on Information Sciences and Systems, {CISS} 2022, Princeton, NJ, USA, March 9-11, 2022}, pages = {101--106}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CISS53076.2022.9751160}, doi = {10.1109/CISS53076.2022.9751160}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ciss/GogineniWHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cit/BatabyalSGD22, author = {Abhyudaya Batabyal and Vinayak Singh and Mahendra Kumar Gourisaria and Himansu Das}, title = {Sleep Stress Level Classification through Machine Learning Algorithms}, booktitle = {{OITS} International Conference on Information Technology, {OCIT} 2022, Bhubaneswar, India, December 14-16, 2022}, pages = {91--96}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/OCIT56763.2022.00027}, doi = {10.1109/OCIT56763.2022.00027}, timestamp = {Fri, 10 Mar 2023 12:02:44 +0100}, biburl = {https://dblp.org/rec/conf/cit/BatabyalSGD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cit/SinghSGS22, author = {Advait Singh and Vinayak Singh and Mahendra Kumar Gourisaria and Ashish Sharma}, title = {Alcohol Consumption Rate Prediction using Machine Learning Algorithms}, booktitle = {{OITS} International Conference on Information Technology, {OCIT} 2022, Bhubaneswar, India, December 14-16, 2022}, pages = {85--90}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/OCIT56763.2022.00026}, doi = {10.1109/OCIT56763.2022.00026}, timestamp = {Sat, 20 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cit/SinghSGS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/AgarwalM22, author = {Vinayak Agarwal and Josh H. McDermott}, editor = {Jennifer Culbertson and Hugh Rabagliati and Ver{\'{o}}nica C. Ramenzoni and Andrew Perfors}, title = {Inferring object interactions from scraping and rolling sounds}, booktitle = {Proceedings of the 44th Annual Meeting of the Cognitive Science Society, CogSci 2022, Toronto, ON, Canada, July 27-30, 2022}, publisher = {cognitivesciencesociety.org}, year = {2022}, url = {https://escholarship.org/uc/item/8kh1n0c7}, timestamp = {Tue, 30 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/AgarwalM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvmi/SahooSGA22, author = {Vishal Kumar Sahoo and Vinayak Singh and Mahendra Kumar Gourisaria and Anuja Kumar Acharya}, editor = {Massimo Tistarelli and Shiv Ram Dubey and Satish Kumar Singh and Xiaoyi Jiang}, title = {{URL} Classification on Extracted Feature Using Deep Learning}, booktitle = {Computer Vision and Machine Intelligence - Proceedings of {CVMI} 2022, {IIIT} Allahabad, India, August 2022}, series = {Lecture Notes in Networks and Systems}, volume = {586}, pages = {415--428}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-981-19-7867-8\_33}, doi = {10.1007/978-981-19-7867-8\_33}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvmi/SahooSGA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AgostiniCLAMCMT22, author = {Nicolas Bohm Agostini and Serena Curzel and Ankur Limaye and Vinay Amatya and Marco Minutoli and Vito Giovanni Castellana and Joseph B. Manzano and Antonino Tumeo and Fabrizio Ferrandi}, editor = {Rob Oshana}, title = {The {SODA} approach: leveraging high-level synthesis for hardware/software co-design and hardware specialization: invited}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {1359--1362}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517.3530628}, doi = {10.1145/3489517.3530628}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AgostiniCLAMCMT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edoc/RoychoudhurySKS22, author = {Suman Roychoudhury and Mayur Selukar and Deepali Kholkar and Suraj and Namrata Choudhary and Vinay Kulkarni and Sreedhar Reddy}, editor = {Tiago Prince Sales and Henderik A. Proper and Giancarlo Guizzardi and Marco Montali and Fabrizio Maria Maggi and Claudenir M. Fonseca}, title = {Learning-Aided Adaptation - {A} Case Study from Wellness Ecosystem}, booktitle = {Enterprise Design, Operations, and Computing. {EDOC} 2022 Workshops - IDAMS, SoEA4EE, TEAR, {EDOC} Forum, Demonstrations Track and Doctoral Consortium, Bozen-Bolzano, Italy, October 4-7, 2022, Revised Selected Papers}, series = {Lecture Notes in Business Information Processing}, volume = {466}, pages = {300--315}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-26886-1\_18}, doi = {10.1007/978-3-031-26886-1\_18}, timestamp = {Tue, 07 Mar 2023 10:17:38 +0100}, biburl = {https://dblp.org/rec/conf/edoc/RoychoudhurySKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/VermaVRK22, author = {Gaurav Verma and Vishwa Vinay and Ryan A. Rossi and Srijan Kumar}, editor = {Yoav Goldberg and Zornitsa Kozareva and Yue Zhang}, title = {Robustness of Fusion-based Multimodal Classifiers to Cross-Modal Content Dilutions}, booktitle = {Proceedings of the 2022 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2022, Abu Dhabi, United Arab Emirates, December 7-11, 2022}, pages = {360--374}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.emnlp-main.25}, doi = {10.18653/V1/2022.EMNLP-MAIN.25}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/VermaVRK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurosp/BhaleraoHMRS22, author = {Rasika Bhalerao and Vaughn Hamilton and Allison McDonald and Elissa M. Redmiles and Angelika Strohmayer}, title = {Ethical Practices for Security Research with At-Risk Populations}, booktitle = {{IEEE} European Symposium on Security and Privacy, EuroS{\&}P 2022 - Workshops, Genoa, Italy, June 6-10, 2022}, pages = {546--553}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/EuroSPW55150.2022.00065}, doi = {10.1109/EUROSPW55150.2022.00065}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eurosp/BhaleraoHMRS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fie/JaisonWRMDKLWH22, author = {Donna Jaison and Morgan B. Weaver and Samantha Ray and Hillary E. Merzdorf and Kerrie A. Douglas and Vinayak R. Krishnamurthy and Julie Linsey and Karan L. Watson and Tracy Hammond}, title = {{WIP} Teaching Engineers to Sketch: Impacts of Feedback from an Intelligent Tutoring Software on Engineers' Sketching Skill Development}, booktitle = {{IEEE} Frontiers in Education Conference, {FIE} 2022, Uppsala, Sweden, October 8-11, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/FIE56618.2022.9962419}, doi = {10.1109/FIE56618.2022.9962419}, timestamp = {Tue, 24 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fie/JaisonWRMDKLWH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fusion/GogineniMVW22, author = {Vinay Chakravarthi Gogineni and Ashkan Moradi and Naveen K. D. Venkategowda and Stefan Werner}, title = {Communication-Efficient and Privacy-Aware Distributed {LMS} Algorithm}, booktitle = {25th International Conference on Information Fusion, {FUSION} 2022, Link{\"{o}}ping, Sweden, July 4-7, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/document/9841380}, timestamp = {Fri, 12 Aug 2022 23:34:34 +0200}, biburl = {https://dblp.org/rec/conf/fusion/GogineniMVW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/SahuCR22, author = {Nishad Sahu and Vinay Chamola and Ragunathan Raj Rajkumar}, title = {A Clustering and Image Processing Approach to Unsupervised Real-Time Road Segmentation for Autonomous Vehicles}, booktitle = {{IEEE} Globecom 2022 Workshops, Rio de Janeiro, Brazil, December 4-8, 2022}, pages = {160--165}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GCWkshps56602.2022.10008782}, doi = {10.1109/GCWKSHPS56602.2022.10008782}, timestamp = {Tue, 17 Jan 2023 14:32:06 +0100}, biburl = {https://dblp.org/rec/conf/globecom/SahuCR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipeac/TumeoACL0AMCLM22, author = {Antonino Tumeo and Nicolas Bohm Agostini and Serena Curzel and Ankur Limaye and Cheng Tan and Vinay Amatya and Marco Minutoli and Vito Giovanni Castellana and Ang Li and Joseph B. Manzano}, editor = {Francesca Palumbo and Jo{\~{a}}o Bispo and Stefano Cherubin}, title = {SO(DA)\({}^{\mbox{2}}\): End-to-end Generation of Specialized Reconfigurable Architectures (Invited Talk)}, booktitle = {13th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 11th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, {PARMA-DITAM} 2022, June 22, 2022, Budapest, Hungary}, series = {OASIcs}, volume = {100}, pages = {1:1--1:15}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2022}, url = {https://doi.org/10.4230/OASIcs.PARMA-DITAM.2022.1}, doi = {10.4230/OASICS.PARMA-DITAM.2022.1}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipeac/TumeoACL0AMCLM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/CurzelANLZAMCMB22, author = {Serena Curzel and Nicolas Bohm Agostini and Reece Neff and Ankur Limaye and Jeff Jun Zhang and Vinay Amatya and Marco Minutoli and Vito Giovanni Castellana and Joseph B. Manzano and David Brooks and Gu{-}Yeon Wei and Fabrizio Ferrandi and Antonino Tumeo}, title = {From High-Level Frameworks to custom Silicon with {SODA}}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--13}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895593}, doi = {10.1109/HCS55958.2022.9895593}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/CurzelANLZAMCMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpsr/KumarHMOC22, author = {Vinay Kumar and Joy Halder and Abhijit Mitra and Eiji Oki and Bijoy Chand Chatterjee}, title = {Inter-Core and Inter-Mode Crosstalk-Avoided Virtual Network Embedding in Spectrally-Spatially Elastic Optical Networks}, booktitle = {23rd {IEEE} International Conference on High Performance Switching and Routing, {HPSR} 2022, Taicang, Jiangsu, China, June 6-8, 2022}, pages = {125--130}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HPSR54439.2022.9831362}, doi = {10.1109/HPSR54439.2022.9831362}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpsr/KumarHMOC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic3i/BelhePGRU22, author = {Adityaraj Sanjay Belhe and Janvi Anand Pagariya and Vedant Vinay Ganthade and Mamoon Rashid and Prathamesh Suhas Uravane}, title = {An Efficient Deep Learning based Approach for the Detection of Brain Tumors}, booktitle = {5th International Conference on Contemporary Computing and Informatics, {IC3I} 2022, Uttar Pradesh, India, December 14-16, 2022}, pages = {417--421}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IC3I56241.2022.10073209}, doi = {10.1109/IC3I56241.2022.10073209}, timestamp = {Sat, 25 Mar 2023 16:32:21 +0100}, biburl = {https://dblp.org/rec/conf/ic3i/BelhePGRU22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic3i/NassaVCCSV22, author = {Vinay Kumar Nassa and V. L. Varun and Akkaraju Sailesh Chandra and Maddikera Kalyan Chakravarthi and Rajesh Singh and Devvret Verma}, title = {Evaluation of Block-chain Transaction Accuracy using Neural Network Model}, booktitle = {5th International Conference on Contemporary Computing and Informatics, {IC3I} 2022, Uttar Pradesh, India, December 14-16, 2022}, pages = {357--361}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IC3I56241.2022.10072577}, doi = {10.1109/IC3I56241.2022.10072577}, timestamp = {Mon, 31 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ic3i/NassaVCCSV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icacds/GhoseMS22, author = {Dillip Kumar Ghose and Vinay Mahakur and Abinash Sahoo}, editor = {Mayank Singh and Vipin Tyagi and P. K. Gupta and Jan Flusser and Tuncer I. {\"{O}}ren}, title = {Monthly Runoff Prediction by Hybrid {CNN-LSTM} Model: {A} Case Study}, booktitle = {Advances in Computing and Data Sciences - 6th International Conference, {ICACDS} 2022, Kurnool, India, April 22-23, 2022, Revised Selected Papers, Part {II}}, series = {Communications in Computer and Information Science}, volume = {1614}, pages = {381--392}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-12641-3\_31}, doi = {10.1007/978-3-031-12641-3\_31}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icacds/GhoseMS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icara/KaushalDS22, author = {Harsh Kaushal and Vinayak Dhruv and Indu Sreedevi}, title = {Minotaur - {A} Single Lane Navigation System}, booktitle = {8th International Conference on Automation, Robotics and Applications, {ICARA} 2022, Prague, Czech Republic, February 18-20, 2022}, pages = {113--117}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICARA55094.2022.9738520}, doi = {10.1109/ICARA55094.2022.9738520}, timestamp = {Wed, 30 Mar 2022 10:50:04 +0200}, biburl = {https://dblp.org/rec/conf/icara/KaushalDS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/GogineniWHK22, author = {Vinay Chakravarthi Gogineni and Stefan Werner and Yih{-}Fang Huang and Anthony Kuh}, title = {Communication-Efficient Online Federated Learning Framework for Nonlinear Regression}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2022, Virtual and Singapore, 23-27 May 2022}, pages = {5228--5232}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICASSP43922.2022.9746228}, doi = {10.1109/ICASSP43922.2022.9746228}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/GogineniWHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/GuptaA22, author = {Devansh Gupta and Vinayak Abrol}, title = {Time-Frequency and Geometric Analysis of Task-Dependent Learning in Raw Waveform Based Acoustic Models}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2022, Virtual and Singapore, 23-27 May 2022}, pages = {4323--4327}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICASSP43922.2022.9746577}, doi = {10.1109/ICASSP43922.2022.9746577}, timestamp = {Tue, 07 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/GuptaA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/YadavSNH22, author = {Ravindra Yadav and Ashish Sardana and Vinay P. Namboodiri and Rajesh M. Hegde}, title = {Learning to Predict Speech in Silent Videos Via Audiovisual Analogy}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2022, Virtual and Singapore, 23-27 May 2022}, pages = {8042--8046}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICASSP43922.2022.9747559}, doi = {10.1109/ICASSP43922.2022.9747559}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/YadavSNH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbbe/BargaleRJBMJ22, author = {Shashvat Bargale and Lavish Ranka and Bhavit Jain and Vibha Bhatnagar and Vinay Manurkar and Avni Jain}, title = {Anaemia Detection Using Smartphone Images}, booktitle = {Proceedings of the 9th International Conference on Biomedical and Bioinformatics Engineering, {ICBBE} 2022, Kyoto, Japan, November 10-13, 2022}, pages = {260--266}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3574198.3574239}, doi = {10.1145/3574198.3574239}, timestamp = {Thu, 30 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icbbe/BargaleRJBMJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/GauthierG0HK22, author = {Fran{\c{c}}ois Gauthier and Vinay Chakravarthi Gogineni and Stefan Werner and Yih{-}Fang Huang and Anthony Kuh}, title = {Resource-Aware Asynchronous Online Federated Learning for Nonlinear Regression}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2022, Seoul, Korea, May 16-20, 2022}, pages = {2828--2833}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICC45855.2022.9839079}, doi = {10.1109/ICC45855.2022.9839079}, timestamp = {Fri, 24 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/GauthierG0HK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/PraveenC22, author = {Gorla Praveen and Vinay Chamola}, title = {CellularBlockB5G: {A} Blockchain-based Multi Operator Spectrum Sharing Simulator for 5G and Beyond Networks}, booktitle = {2022 {IEEE} International Conference on Communications Workshops, {ICC} Workshops 2022, Seoul, Korea, May 16-20, 2022}, pages = {265--270}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCWorkshops53468.2022.9814681}, doi = {10.1109/ICCWORKSHOPS53468.2022.9814681}, timestamp = {Thu, 21 Jul 2022 16:15:18 +0200}, biburl = {https://dblp.org/rec/conf/icc/PraveenC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AgostiniCA0MCMK22, author = {Nicolas Bohm Agostini and Serena Curzel and Vinay Amatya and Cheng Tan and Marco Minutoli and Vito Giovanni Castellana and Joseph B. Manzano and David R. Kaeli and Antonino Tumeo}, editor = {Tulika Mitra and Evangeline F. Y. Young and Jinjun Xiong}, title = {An MLIR-based Compiler Flow for System-Level Design and Hardware Acceleration}, booktitle = {Proceedings of the 41st {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2022, San Diego, California, USA, 30 October 2022 - 3 November 2022}, pages = {6:1--6:9}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3508352.3549424}, doi = {10.1145/3508352.3549424}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/AgostiniCA0MCMK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/AgarwalTPSS22, author = {Atul Rohit Agarwal and Sourabh Tiwari and Vinay Vasanth Patage and Sankar Ganesh S. and M. S. Sudhakar}, title = {A Method for Voice Activity Detection using K-Means Clustering}, booktitle = {13th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2022, Kharagpur, India, October 3-5, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCCNT54827.2022.9984425}, doi = {10.1109/ICCCNT54827.2022.9984425}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icccnt/AgarwalTPSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/TakkalaKSSMP22, author = {Harshith Reddy Takkala and Vinay Khanduri and Aniket Singh and Sai Nikhil Somepalli and Rakesh Maddineni and Sankha Patra}, title = {Kyphosis Disease Prediction with help of RandomizedSearchCV and AdaBoosting}, booktitle = {13th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2022, Kharagpur, India, October 3-5, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCCNT54827.2022.9984343}, doi = {10.1109/ICCCNT54827.2022.9984343}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icccnt/TakkalaKSSMP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/VinaySPHTJECA22, author = {Ratnala Vinay and Pradip Sasmal and Chandrajit Pal and Toshihisa Haraki and Kazuhiro Tamura and Chirag Juyal and Mohamed Amir Gabir Elbakri and Sumohana S. Channappayya and Amit Acharyya}, title = {Light Weight {RL} Based Run Time Power Management Methodology for Edge Devices}, booktitle = {29th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2022, Glasgow, United Kingdom, October 24-26, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICECS202256217.2022.9971000}, doi = {10.1109/ICECS202256217.2022.9971000}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/VinaySPHTJECA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icimmi/DewanganMGKMS22, author = {P. D. Dewangan and Praveen Mande and Ankur Gupta and P. J. Krishna and Veerpratap P. Meena and Vinay Pratap Singh}, title = {Performance Evaluation of Jaya Algorithm During Search Space Violation}, booktitle = {Proceedings of the 4th International Conference on Information Management {\&} Machine Intelligence, Jaipur, India, December 23-24, 2022}, pages = {4:1--4:9}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3590837.3590841}, doi = {10.1145/3590837.3590841}, timestamp = {Thu, 16 May 2024 10:47:14 +0200}, biburl = {https://dblp.org/rec/conf/icimmi/DewanganMGKMS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/RamaseshLD22, author = {Vinay Venkatesh Ramasesh and Aitor Lewkowycz and Ethan Dyer}, title = {Effect of scale on catastrophic forgetting in neural networks}, booktitle = {The Tenth International Conference on Learning Representations, {ICLR} 2022, Virtual Event, April 25-29, 2022}, publisher = {OpenReview.net}, year = {2022}, url = {https://openreview.net/forum?id=GhVS8\_yPeEa}, timestamp = {Sat, 20 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/RamaseshLD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmi/EmersonHCBUL22, author = {Andrew Emerson and Patrick Houghton and Ke Chen and Vinay Basheerabad and Rutuja Ubale and Chee Wee Leong}, editor = {Raj Tumuluri and Nicu Sebe and Gopal Pingali and Dinesh Babu Jayagopi and Abhinav Dhall and Richa Singh and Lisa Anthony and Albert Ali Salah}, title = {Predicting User Confidence in Video Recordings with Spatio-Temporal Multimodal Analytics}, booktitle = {International Conference on Multimodal Interaction, {ICMI} 2022, Companion Volume, Bengaluru, India, November 7-11, 2022}, pages = {98--104}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3536220.3558007}, doi = {10.1145/3536220.3558007}, timestamp = {Mon, 07 Nov 2022 11:09:55 +0100}, biburl = {https://dblp.org/rec/conf/icmi/EmersonHCBUL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/RosenkrantzAMQR22, author = {Daniel J. Rosenkrantz and Abhijin Adiga and Madhav V. Marathe and Zirou Qiu and S. S. Ravi and Richard Edwin Stearns and Anil Vullikanti}, editor = {Kamalika Chaudhuri and Stefanie Jegelka and Le Song and Csaba Szepesv{\'{a}}ri and Gang Niu and Sivan Sabato}, title = {Efficiently Learning the Topology and Behavior of a Networked Dynamical System Via Active Queries}, booktitle = {International Conference on Machine Learning, {ICML} 2022, 17-23 July 2022, Baltimore, Maryland, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {162}, pages = {18796--18808}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v162/rosenkrantz22a.html}, timestamp = {Wed, 26 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/RosenkrantzAMQR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/VermaDAVC22, author = {Tathagat Verma and Abir De and Yateesh Agrawal and Vishwa Vinay and Soumen Chakrabarti}, editor = {Kamalika Chaudhuri and Stefanie Jegelka and Le Song and Csaba Szepesv{\'{a}}ri and Gang Niu and Sivan Sabato}, title = {VarScene: {A} Deep Generative Model for Realistic Scene Graph Synthesis}, booktitle = {International Conference on Machine Learning, {ICML} 2022, 17-23 July 2022, Baltimore, Maryland, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {162}, pages = {22168--22183}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v162/verma22b.html}, timestamp = {Tue, 12 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/VermaDAVC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/VinayavekhinSCTM22, author = {Phongtharin Vinayavekhin and Vorapong Suppakitpaisarn and Philippe Codognet and Torahiko Terada and Atsushi Miura}, editor = {Jean{-}Jacques Rousseau and Bill Kapralos}, title = {Learning Similarity for Discovering Inspirations of Western Arts in Japanese Culture}, booktitle = {Pattern Recognition, Computer Vision, and Image Processing. {ICPR} 2022 International Workshops and Challenges - Montreal, QC, Canada, August 21-25, 2022, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {13645}, pages = {78--92}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-37731-0\_7}, doi = {10.1007/978-3-031-37731-0\_7}, timestamp = {Mon, 14 Aug 2023 16:16:23 +0200}, biburl = {https://dblp.org/rec/conf/icpr/VinayavekhinSCTM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/AiGVH22, author = {Bo Ai and Wei Gao and Vinay and David Hsu}, title = {Deep Visual Navigation under Partial Observability}, booktitle = {2022 International Conference on Robotics and Automation, {ICRA} 2022, Philadelphia, PA, USA, May 23-27, 2022}, pages = {9439--9446}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICRA46639.2022.9811598}, doi = {10.1109/ICRA46639.2022.9811598}, timestamp = {Mon, 04 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icra/AiGVH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/TaslimaSPKDS22, author = {Eram Taslima and Bhawana Singh and Vinay Pandey and Shyam Kamal and Thach Ngoc Dinh and R. K. Saket}, title = {A Passivity based Approach to Synchronize Multi-agent Systems in Predefined Time}, booktitle = {{IECON} 2022 - 48th Annual Conference of the {IEEE} Industrial Electronics Society, Brussels, Belgium, October 17-20, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IECON49645.2022.9968740}, doi = {10.1109/IECON49645.2022.9968740}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iecon/TaslimaSPKDS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieem/SachdevaSS22, author = {Anish Sachdeva and Vinay Kumar Sharma and Lakhwinderpal Singh}, title = {Industry 4.0 and Indian SMEs: {A} Study of Espousal Challenges using {AHP} Technique}, booktitle = {{IEEE} International Conference on Industrial Engineering and Engineering Management, {IEEM} 2022, Kuala Lumpur, Malaysia, December 7-10, 2022}, pages = {1443--1448}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IEEM55944.2022.9989977}, doi = {10.1109/IEEM55944.2022.9989977}, timestamp = {Thu, 05 Jan 2023 17:26:21 +0100}, biburl = {https://dblp.org/rec/conf/ieem/SachdevaSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KannanTASRLNM22, author = {Archana Kannan and Grigorios Tsagkatakis and Ruzbeh Akbar and Daniel Selva and Vinay Ravindra and Richard Levinson and Sreeja Nag and Mahta Moghaddam}, title = {Forecasting Soil Moisture Using a Deep Learning Model Integrated with Passive Microwave Retrieval}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2022, Kuala Lumpur, Malaysia, July 17-22, 2022}, pages = {6112--6114}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IGARSS46834.2022.9883245}, doi = {10.1109/IGARSS46834.2022.9883245}, timestamp = {Tue, 04 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/KannanTASRLNM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/MelebariNRM22, author = {Amer Melebari and Sreeja Nag and Vinay Ravindra and Mahta Moghaddam}, title = {Soil Moisture Retrieval from Multi-Instrument and Multi-Frequency Simulated Measurements in Support of Future Earth Observing Systems}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2022, Kuala Lumpur, Malaysia, July 17-22, 2022}, pages = {5594--5597}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IGARSS46834.2022.9883602}, doi = {10.1109/IGARSS46834.2022.9883602}, timestamp = {Tue, 04 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/MelebariNRM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/VinayarajAB22, author = {Poliyapram Vinayaraj and Jeremiah Luke Anderson and Mayank Bansal}, title = {A Multi-Task Deep Learning Model for Population and {LULC} {(M2PL-NET)} Prediction with Scaling to a People Flow Grid}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2022, Kuala Lumpur, Malaysia, July 17-22, 2022}, pages = {135--138}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IGARSS46834.2022.9883467}, doi = {10.1109/IGARSS46834.2022.9883467}, timestamp = {Fri, 30 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/VinayarajAB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/MeyurTMVSM22, author = {Rounak Meyur and Swapna Thorve and Madhav V. Marathe and Anil Vullikanti and Samarth Swarup and Henning S. Mortveit}, editor = {Luc De Raedt}, title = {A Reliability-aware Distributed Framework to Schedule Residential Charging of Electric Vehicles}, booktitle = {Proceedings of the Thirty-First International Joint Conference on Artificial Intelligence, {IJCAI} 2022, Vienna, Austria, 23-29 July 2022}, pages = {5115--5121}, publisher = {ijcai.org}, year = {2022}, url = {https://doi.org/10.24963/ijcai.2022/710}, doi = {10.24963/IJCAI.2022/710}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/MeyurTMVSM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/MullerTYMWWBPOS22, author = {Johannes M{\"{u}}ller and Aleksandra Titova and Hongsik Yoon and Thomas Merbeth and Martin Weisheit and Georg Wolf and Sanjeeb Bharali and Bert Pfefferling and Yuichi Otani and Tetyana Shapoval and Alberto Cagliani and Ferenc Vajda and Pedram Sadeghi and Christiana Villas{-}Boas Grimm and Frank Krause and Ines Altendorf and Gabriele Congedo and Robert Binder and Joachim Metzger and Alexander Lajn and Markus Langner and Young Seon You and Oliver Kallensee and Vinayak Bharat Naik and Kazutaka Yamane and Steven Soss}, title = {From Emergence to Prevalence: 22FDX{\textregistered} Embedded {STT-MRAM}}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2022, Dresden, Germany, May 15-18, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IMW52921.2022.9779310}, doi = {10.1109/IMW52921.2022.9779310}, timestamp = {Fri, 16 Jun 2023 10:03:33 +0200}, biburl = {https://dblp.org/rec/conf/imw2/MullerTYMWWBPOS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indiaSE/Kulkarni22, author = {Vinay Kulkarni}, editor = {Saurabh Tiwari and Sanjay Chaudhary and Chanchal K. Roy and Meenakshi D'Souza and Richa Sharma and Lov Kumar}, title = {A Report on the First Workshop on Knowledge Guided AI-Native Adaptive Enterprise}, booktitle = {{ISEC} 2022: 15th Innovations in Software Engineering Conference, Gandhinagar, India, February 24 - 26, 2022}, pages = {38:1--38:2}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3511430.3511465}, doi = {10.1145/3511430.3511465}, timestamp = {Fri, 18 Mar 2022 07:30:56 +0100}, biburl = {https://dblp.org/rec/conf/indiaSE/Kulkarni22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indiaSE/RajbhojNBK22, author = {Asha Rajbhoj and Padmalata Nistala and Pulkit Batra and Vinay Kulkarni}, editor = {Saurabh Tiwari and Sanjay Chaudhary and Chanchal K. Roy and Meenakshi D'Souza and Richa Sharma and Lov Kumar}, title = {AI-enabled Project Initiation: An approach based on {RFP} Response Document}, booktitle = {{ISEC} 2022: 15th Innovations in Software Engineering Conference, Gandhinagar, India, February 24 - 26, 2022}, pages = {22:1--22:5}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3511430.3511450}, doi = {10.1145/3511430.3511450}, timestamp = {Thu, 17 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/indiaSE/RajbhojNBK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/KohliCCY22, author = {Varun Kohli and Amit Chougule and Vinay Chamola and F. Richard Yu}, title = {MbRE {IDS:} An {AI} and Edge Computing Empowered Framework for Securing Intelligent Transportation Systems}, booktitle = {{IEEE} {INFOCOM} 2022 - {IEEE} Conference on Computer Communications Workshops, {INFOCOM} 2022 - Workshops, New York, NY, USA, May 2-5, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/INFOCOMWKSHPS54753.2022.9798390}, doi = {10.1109/INFOCOMWKSHPS54753.2022.9798390}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/infocom/KohliCCY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/SankaralingamNG22, author = {Karthikeyan Sankaralingam and Tony Nowatzki and Vinay Gangadhar and Preyas Shah and Michael Davies and William Galliher and Ziliang Guo and Jitu Khare and Deepak Vijay and Poly Palamuttam and Maghawan Punde and Alex Tan and Vijay Thiruvengadam and Rongyi Wang and Shunmiao Xu}, editor = {Valentina Salapura and Mohamed Zahran and Fred Chong and Lingjia Tang}, title = {The Mozart reuse exposed dataflow processor for {AI} and beyond: industrial product}, booktitle = {{ISCA} '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18 - 22, 2022}, pages = {978--992}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3470496.3533040}, doi = {10.1145/3470496.3533040}, timestamp = {Wed, 01 Jun 2022 14:28:13 +0200}, biburl = {https://dblp.org/rec/conf/isca/SankaralingamNG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KuttappaTHYSKKS22, author = {Ragh Kuttappa and Baris Taskin and Vinayak Honkote and Satish Yada and Jainaveen Sundaram and Dileep Kurian and Tanay Karnik and Anuradha Srinivasan}, title = {Resonant Rotary Clock Synchronization with Active and Passive Silicon Interposer}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2022, Austin, TX, USA, May 27 - June 1, 2022}, pages = {692--696}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISCAS48785.2022.9937877}, doi = {10.1109/ISCAS48785.2022.9937877}, timestamp = {Thu, 17 Nov 2022 15:59:17 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KuttappaTHYSKKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismir/Vinay022, author = {Ashvala Vinay and Alexander Lerch}, editor = {Preeti Rao and Hema A. Murthy and Ajay Srinivasamurthy and Rachel M. Bittner and Rafael Caro Repetto and Masataka Goto and Xavier Serra and Marius Miron}, title = {Evaluating Generative Audio Systems and Their Metrics}, booktitle = {Proceedings of the 23rd International Society for Music Information Retrieval Conference, {ISMIR} 2022, Bengaluru, India, December 4-8, 2022}, pages = {858--865}, year = {2022}, url = {https://archives.ismir.net/ismir2022/paper/000103.pdf}, timestamp = {Mon, 08 May 2023 14:44:00 +0200}, biburl = {https://dblp.org/rec/conf/ismir/Vinay022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/ChenHMMLVHBAVSW22, author = {Jiangzhuo Chen and Stefan Hoops and Achla Marathe and Henning S. Mortveit and Bryan L. Lewis and Srinivasan Venkatramanan and Arash Haddadan and Parantapa Bhattacharya and Abhijin Adiga and Anil Vullikanti and Aravind Srinivasan and Mandy L. Wilson and Gal Ehrlich and Maier Fenster and Stephen G. Eubank and Christopher L. Barrett and Madhav V. Marathe}, editor = {Aidong Zhang and Huzefa Rangwala}, title = {Effective Social Network-Based Allocation of {COVID-19} Vaccines}, booktitle = {{KDD} '22: The 28th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, Washington, DC, USA, August 14 - 18, 2022}, pages = {4675--4683}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3534678.3542673}, doi = {10.1145/3534678.3542673}, timestamp = {Mon, 28 Aug 2023 21:17:29 +0200}, biburl = {https://dblp.org/rec/conf/kdd/ChenHMMLVHBAVSW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/NegiVBACGNG22, author = {Sumit Negi and Manisha Verma and Rajdeep H. Banerjee and Pooja A and Lydia B. Chilton and Mithun Das Gupta and Vinay P. Namboodiri and Dinesh Garg}, editor = {Aidong Zhang and Huzefa Rangwala}, title = {First Workshop on Content Understanding and Generation for E-commerce}, booktitle = {{KDD} '22: The 28th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, Washington, DC, USA, August 14 - 18, 2022}, pages = {4888--4889}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3534678.3542904}, doi = {10.1145/3534678.3542904}, timestamp = {Tue, 07 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/kdd/NegiVBACGNG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/AroraHUKDRSMCDN22, author = {Siddhant Arora and Henry Hosseini and Christine Utz and Vinayshekhar Bannihatti Kumar and Tristan Dhellemmes and Abhilasha Ravichander and Peter Story and Jasmine Mangat and Rex Chen and Martin Degeling and Thomas B. Norton and Thomas Hupperich and Shomir Wilson and Norman M. Sadeh}, editor = {Nicoletta Calzolari and Fr{\'{e}}d{\'{e}}ric B{\'{e}}chet and Philippe Blache and Khalid Choukri and Christopher Cieri and Thierry Declerck and Sara Goggi and Hitoshi Isahara and Bente Maegaard and Joseph Mariani and H{\'{e}}l{\`{e}}ne Mazo and Jan Odijk and Stelios Piperidis}, title = {A Tale of Two Regulatory Regimes: Creation and Analysis of a Bilingual Privacy Policy Corpus}, booktitle = {Proceedings of the Thirteenth Language Resources and Evaluation Conference, {LREC} 2022, Marseille, France, 20-25 June 2022}, pages = {5460--5472}, publisher = {European Language Resources Association}, year = {2022}, url = {https://aclanthology.org/2022.lrec-1.585}, timestamp = {Mon, 10 Oct 2022 16:57:52 +0200}, biburl = {https://dblp.org/rec/conf/lrec/AroraHUKDRSMCDN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/models/BaratK0B22, author = {Souvik Barat and Vinay Kulkarni and Tony Clark and Balbir Barn}, editor = {Eugene Syriani and Houari A. Sahraoui and Nelly Bencomo and Manuel Wimmer}, title = {Digital twin as risk-free experimentation aid for techno-socio-economic systems}, booktitle = {Proceedings of the 25th International Conference on Model Driven Engineering Languages and Systems, {MODELS} 2022, Montreal, Quebec, Canada, October 23-28, 2022}, pages = {66--75}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3550355.3552409}, doi = {10.1145/3550355.3552409}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/models/BaratK0B22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/MaheshwariSJKAG22, author = {Himanshu Maheshwari and Nethraa Sivakumar and Shelly Jain and Tanvi Karandikar and Vinay Aggarwal and Navita Goyal and Sumit Shekhar}, editor = {Marine Carpuat and Marie{-}Catherine de Marneffe and Iv{\'{a}}n Vladimir Meza Ru{\'{\i}}z}, title = {DynamicTOC: Persona-based Table of Contents for Consumption of Long Documents}, booktitle = {Proceedings of the 2022 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies, {NAACL} 2022, Seattle, WA, United States, July 10-15, 2022}, pages = {5133--5143}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.naacl-main.378}, doi = {10.18653/V1/2022.NAACL-MAIN.378}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/naacl/MaheshwariSJKAG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ncc/BhagwatkarKDKS22, author = {Rishika Bhagwatkar and Saurabh Kemekar and Vinay Domatoti and Khursheed Munir Khan and Anamika Singh}, title = {Contrastive Learning-Based Domain Adaptation for Semantic Segmentation}, booktitle = {27th National Conference on Communications, {NCC} 2022, Mumbai, India, May 24-27, 2022}, pages = {239--244}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/NCC55593.2022.9806740}, doi = {10.1109/NCC55593.2022.9806740}, timestamp = {Fri, 15 Jul 2022 16:44:17 +0200}, biburl = {https://dblp.org/rec/conf/ncc/BhagwatkarKDKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/neurreps/ThakurAS22, author = {Anshul Thakur and Vinayak Abrol and Pulkit Sharma}, editor = {Sophia Sanborn and Christian Shewmake and Simone Azeglio and Arianna Di Bernardo and Nina Miolane}, title = {Does Geometric Structure in Convolutional Filter Space Provide Filter Redundancy Information?}, booktitle = {NeurIPS Workshop on Symmetry and Geometry in Neural Representations, 03 December 2022, New Orleans, Lousiana, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {197}, pages = {111--121}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v197/thakur23a.html}, timestamp = {Tue, 05 Sep 2023 17:08:45 +0200}, biburl = {https://dblp.org/rec/conf/neurreps/ThakurAS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/AnilWALMRSGDN22, author = {Cem Anil and Yuhuai Wu and Anders Andreassen and Aitor Lewkowycz and Vedant Misra and Vinay V. Ramasesh and Ambrose Slone and Guy Gur{-}Ari and Ethan Dyer and Behnam Neyshabur}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Exploring Length Generalization in Large Language Models}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/fb7451e43f9c1c35b774bcfad7a5714b-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/AnilWALMRSGDN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/GoelBBRVG22, author = {Shashank Goel and Hritik Bansal and Sumit Bhatia and Ryan A. Rossi and Vishwa Vinay and Aditya Grover}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {CyCLIP: Cyclic Contrastive Language-Image Pretraining}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/2cd36d327f33d47b372d4711edd08de0-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/GoelBBRVG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/JuAGR22, author = {Nianqiao Ju and Jordan Awan and Ruobin Gong and Vinayak Rao}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Data Augmentation {MCMC} for Bayesian Inference from Privatized Data}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/529d0f9b0fb7c8d4b7d52221faee48d6-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/JuAGR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/LewkowyczADDMRS22, author = {Aitor Lewkowycz and Anders Andreassen and David Dohan and Ethan Dyer and Henryk Michalewski and Vinay V. Ramasesh and Ambrose Slone and Cem Anil and Imanol Schlag and Theo Gutman{-}Solo and Yuhuai Wu and Behnam Neyshabur and Guy Gur{-}Ari and Vedant Misra}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Solving Quantitative Reasoning Problems with Language Models}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/18abbeef8cfe9203fdf9053c9c4fe191-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/LewkowyczADDMRS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nsdi/YangSBRS22, author = {Juncheng Yang and Anirudh Sabnis and Daniel S. Berger and K. V. Rashmi and Ramesh K. Sitaraman}, editor = {Amar Phanishayee and Vyas Sekar}, title = {{C2DN:} How to Harness Erasure Codes at the Edge for Efficient Content Delivery}, booktitle = {19th {USENIX} Symposium on Networked Systems Design and Implementation, {NSDI} 2022, Renton, WA, USA, April 4-6, 2022}, pages = {1159--1177}, publisher = {{USENIX} Association}, year = {2022}, url = {https://www.usenix.org/conference/nsdi22/presentation/yang-juncheng}, timestamp = {Wed, 06 Jul 2022 16:57:28 +0200}, biburl = {https://dblp.org/rec/conf/nsdi/YangSBRS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ondm/Balasubramanian22, author = {Srivatsan Balasubramanian and Bodhisattwa Gangopadhyay and Vinayak Dangui and Satyajeet Singh Ahuja and Varun Gupta and Grigory Pastukhov and Max Noormohammadpour and Alexander Nikolaidis and Ariyani Copley and Xueqi He and Jiachuan Tian and Jiajia Chen and Arash Vakili and Chiunlin Lim and Guanqing Yan and Anand Gokul and Biao Lu and Debottym Mukherjee}, title = {Prioritizing deployments achieving targeted network performance across a multilayer Pb/s network}, booktitle = {International Conference on Optical Network Design and Modeling, {ONDM} 2022, Warsaw, Poland, May 16-19, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/ONDM54585.2022.9782839}, doi = {10.23919/ONDM54585.2022.9782839}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ondm/Balasubramanian22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/osdi/KadekodiMAMRG22, author = {Saurabh Kadekodi and Francisco Maturana and Sanjith Athlur and Arif Merchant and K. V. Rashmi and Gregory R. Ganger}, editor = {Marcos K. Aguilera and Hakim Weatherspoon}, title = {Tiger: Disk-Adaptive Redundancy Without Placement Restrictions}, booktitle = {16th {USENIX} Symposium on Operating Systems Design and Implementation, {OSDI} 2022, Carlsbad, CA, USA, July 11-13, 2022}, pages = {413--429}, publisher = {{USENIX} Association}, year = {2022}, url = {https://www.usenix.org/conference/osdi22/presentation/kadekodi}, timestamp = {Tue, 11 Oct 2022 16:51:12 +0200}, biburl = {https://dblp.org/rec/conf/osdi/KadekodiMAMRG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/osdi/UngerJ0LBNRPMML22, author = {Colin Unger and Zhihao Jia and Wei Wu and Sina Lin and Mandeep Baines and Carlos Efrain Quintero Narvaez and Vinay Ramakrishnaiah and Nirmal Prajapati and Patrick S. McCormick and Jamaludin Mohd{-}Yusof and Xi Luo and Dheevatsa Mudigere and Jongsoo Park and Misha Smelyanskiy and Alex Aiken}, editor = {Marcos K. Aguilera and Hakim Weatherspoon}, title = {Unity: Accelerating {DNN} Training Through Joint Optimization of Algebraic Transformations and Parallelization}, booktitle = {16th {USENIX} Symposium on Operating Systems Design and Implementation, {OSDI} 2022, Carlsbad, CA, USA, July 11-13, 2022}, pages = {267--284}, publisher = {{USENIX} Association}, year = {2022}, url = {https://www.usenix.org/conference/osdi22/presentation/unger}, timestamp = {Tue, 11 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/osdi/UngerJ0LBNRPMML22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paams/BaratKPDMP22, author = {Souvik Barat and Vinay Kulkarni and Aditya A. Paranjape and Subramaniam Dhandapani and Solomon Manuelraj and Sai Prasad Parameswaran}, editor = {Frank Dignum and Philippe Mathieu and Juan Manuel Corchado and Fernando de la Prieta}, title = {Agent Based Digital Twin of Sorting Terminal to Improve Efficiency and Resiliency in Parcel Delivery}, booktitle = {Advances in Practical Applications of Agents, Multi-Agent Systems, and Complex Systems Simulation. The {PAAMS} Collection - 20th International Conference, {PAAMS} 2022, L'Aquila, Italy, July 13-15, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13616}, pages = {24--35}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-18192-4\_3}, doi = {10.1007/978-3-031-18192-4\_3}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/paams/BaratKPDMP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paams/KulkarniBYMB22, author = {Vinay Kulkarni and Souvik Barat and Abhishek Yadav and Dushyanthi Mulpuru and Anwesha Basu}, editor = {Frank Dignum and Philippe Mathieu and Juan Manuel Corchado and Fernando de la Prieta}, title = {Digital Twin Assisted Decision Making}, booktitle = {Advances in Practical Applications of Agents, Multi-Agent Systems, and Complex Systems Simulation. The {PAAMS} Collection - 20th International Conference, {PAAMS} 2022, L'Aquila, Italy, July 13-15, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13616}, pages = {493--499}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-18192-4\_43}, doi = {10.1007/978-3-031-18192-4\_43}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/paams/KulkarniBYMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/MaitiVJWG22, author = {Anindya Maiti and Nisha Vinayaga{-}Sureshkanth and Murtuza Jadliwala and Raveen Wijewickrama and Greg Griffin}, title = {Impact of E-Scooters on Pedestrian Safety: {A} Field Study Using Pedestrian Crowd-Sensing}, booktitle = {2022 {IEEE} International Conference on Pervasive Computing and Communications Workshops and other Affiliated Events, PerCom 2022 Workshops, Pisa, Italy, March 21-25, 2022}, pages = {799--805}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/PerComWorkshops53856.2022.9767450}, doi = {10.1109/PERCOMWORKSHOPS53856.2022.9767450}, timestamp = {Wed, 11 May 2022 09:14:32 +0200}, biburl = {https://dblp.org/rec/conf/percom/MaitiVJWG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prima/BaratKPPDK22, author = {Souvik Barat and Vinay Kulkarni and Aditya A. Paranjape and Ritu Parchure and Srinivas Darak}, editor = {Reyhan Aydogan and Natalia Criado and J{\'{e}}r{\^{o}}me Lang and V{\'{\i}}ctor S{\'{a}}nchez{-}Anguix and Marc Serramia}, title = {Fine-Grained Prediction and Control of Covid-19 Pandemic in a City: Application to Post-Initial Stages}, booktitle = {{PRIMA} 2022: Principles and Practice of Multi-Agent Systems - 24th International Conference, Valencia, Spain, November 16-18, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13753}, pages = {314--330}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-21203-1\_19}, doi = {10.1007/978-3-031-21203-1\_19}, timestamp = {Thu, 17 Nov 2022 16:10:56 +0100}, biburl = {https://dblp.org/rec/conf/prima/BaratKPPDK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pst/LiuSPPMG22, author = {Mingchang Liu and Vinay Sachidananda and Hongyi Peng and Rajendra Patil and Sivaanandh Muneeswaran and Mohan Gurusamy}, title = {{LOG-OFF:} {A} Novel Behavior Based Authentication Compromise Detection Approach}, booktitle = {19th Annual International Conference on Privacy, Security {\&} Trust, {PST} 2022, Fredericton, NB, Canada, August 22-24, 2022}, pages = {1--10}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/PST55820.2022.9851969}, doi = {10.1109/PST55820.2022.9851969}, timestamp = {Fri, 02 Sep 2022 18:51:56 +0200}, biburl = {https://dblp.org/rec/conf/pst/LiuSPPMG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/re/RajbhojNKSP22, author = {Asha Rajbhoj and Padmalata Nistala and Vinay Kulkarni and Shivani Soni and Ajim Pathan}, title = {DizSpec: Digitalization of Requirements Specification Documents to Automate Traceability and Impact Analysis}, booktitle = {30th {IEEE} International Requirements Engineering Conference, {RE} 2022, Melbourne, Australia, August 15-19, 2022}, pages = {243--254}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/RE54965.2022.00030}, doi = {10.1109/RE54965.2022.00030}, timestamp = {Wed, 26 Oct 2022 19:40:33 +0200}, biburl = {https://dblp.org/rec/conf/re/RajbhojNKSP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/MerlinaVS22, author = {Andrea Merlina and Roman Vitenberg and Vinay Setty}, editor = {Jiman Hong and Miroslav Bures and Juw Won Park and Tom{\'{a}}s Cern{\'{y}}}, title = {A general and configurable framework for blockchain-based marketplaces}, booktitle = {{SAC} '22: The 37th {ACM/SIGAPP} Symposium on Applied Computing, Virtual Event, April 25 - 29, 2022}, pages = {216--225}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3477314.3507039}, doi = {10.1145/3477314.3507039}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sac/MerlinaVS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scipy/DonathSKBSD22, author = {Axel Donath and Aneta Siemiginowska and Vinay Kashyap and Douglas Burke and Karthik Reddy Solipuram and David A. Van Dyk}, editor = {Meghann Agarwal and Chris Calloway and Dillon Niederhut and David Shupe}, title = {Pylira: deconvolution of images in the presence of Poisson noise}, booktitle = {Proceedings of the 21st Python in Science Conference 2022, SciPy 2022, Austin, Texas, July 11 - July 17, 2022}, pages = {98--104}, publisher = {scipy.org}, year = {2022}, url = {https://doi.org/10.25080/majora-212e5952-00f}, doi = {10.25080/MAJORA-212E5952-00F}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/scipy/DonathSKBSD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/ChakrabortyASB22, author = {Souparna Chakraborty and Vinay Arya and Siva Shankar SS and Chirodeep Bakli}, editor = {Jorge Ortiz}, title = {Optimization of building fa{\c{c}}ade for passive thermal management: a machine learning based simulation study for Kolkata, India}, booktitle = {Proceedings of the 9th {ACM} International Conference on Systems for Energy-Efficient Buildings, Cities, and Transportation, BuildSys 2022, Boston, Massachusetts, November 9-10, 2022}, pages = {413--418}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3563357.3566139}, doi = {10.1145/3563357.3566139}, timestamp = {Mon, 12 Dec 2022 10:08:46 +0100}, biburl = {https://dblp.org/rec/conf/sensys/ChakrabortyASB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/AhujaDPSGSYNRSZ22, author = {Satyajeet Singh Ahuja and Vinayak Dangui and Kirtesh Patil and Manikandan Somasundaram and Varun Gupta and Mario A. S{\'{a}}nchez and Guanqing Yan and Max Noormohammadpour and Alaleh Razmjoo and Grace Smith and Hao Zhong and Abhinav Triguna and Soshant Bali and Yuxiang Xiang and Yilun Chen and Prabhakaran Ganesan and Mikel Jimenez Fernandez and Petr Lapukhov and Guyue Liu and Ying Zhang}, editor = {Fernando Kuipers and Ariel Orda}, title = {Network entitlement: contract-based network sharing with agility and {SLO} guarantees}, booktitle = {{SIGCOMM} '22: {ACM} {SIGCOMM} 2022 Conference, Amsterdam, The Netherlands, August 22 - 26, 2022}, pages = {250--263}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3544216.3544245}, doi = {10.1145/3544216.3544245}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/AhujaDPSGSYNRSZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcse/BhaleraoBDG21, author = {Rasika Bhalerao and Emanuelle Burton and Stacy A. Doore and Judy Goldsmith}, editor = {Larry Merkle and Maureen Doyle and Judithe Sheard and Leen{-}Kiat Soh and Brian Dorn}, title = {Learning Outcomes and Assessments for Ethical Computing}, booktitle = {{SIGCSE} 2022: The 53rd {ACM} Technical Symposium on Computer Science Education, Providence, RI, USA, March 3-5, 2022, Volume 2}, pages = {1182}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3478432.3499170}, doi = {10.1145/3478432.3499170}, timestamp = {Mon, 07 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigcse/BhaleraoBDG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/MullinsEAK22, author = {Cassie Mullins and Matthew Ebert and Ergun Akleman and Vinayak R. Krishnamurthy}, editor = {Soon Ki Jung and Neil A. Dodgson}, title = {Voronoi Spaghetti {\&} VoroNoodles: Topologically Interlocked, Space-Filling, Corrugated {\&} Congruent Tiles}, booktitle = {{SIGGRAPH} Asia 2022 Technical Communications, Daegu, Republic of Korea, December 6-9, 2022}, pages = {14:1--14:4}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3550340.3564229}, doi = {10.1145/3550340.3564229}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/MullinsEAK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/0006SA22, author = {Zijian Zhang and Vinay Setty and Avishek Anand}, editor = {Enrique Amig{\'{o}} and Pablo Castells and Julio Gonzalo and Ben Carterette and J. Shane Culpepper and Gabriella Kazai}, title = {SparCAssist: {A} Model Risk Assessment Assistant Based on Sparse Generated Counterfactuals}, booktitle = {{SIGIR} '22: The 45th International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, Madrid, Spain, July 11 - 15, 2022}, pages = {3219--3223}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3477495.3531677}, doi = {10.1145/3477495.3531677}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigir/0006SA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/VinayKA22, author = {Vishwa Vinay and Manoj Kilaru and David Arbour}, editor = {Enrique Amig{\'{o}} and Pablo Castells and Julio Gonzalo and Ben Carterette and J. Shane Culpepper and Gabriella Kazai}, title = {Offline Evaluation of Ranked Lists using Parametric Estimation of Propensities}, booktitle = {{SIGIR} '22: The 45th International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, Madrid, Spain, July 11 - 15, 2022}, pages = {622--632}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3477495.3532032}, doi = {10.1145/3477495.3532032}, timestamp = {Fri, 08 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/VinayKA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/DasA0RB22, author = {Sourav Das and Nitin Awathare and Ling Ren and Vinay J. Ribeiro and Umesh Bellur}, editor = {D. Manjunath and Jayakrishnan Nair and Niklas Carlsson and Edith Cohen and Philippe Robert}, title = {Tuxedo: Maximizing Smart Contract Computation in PoW Blockchains}, booktitle = {{SIGMETRICS/PERFORMANCE} '22: {ACM} {SIGMETRICS/IFIP} {PERFORMANCE} Joint International Conference on Measurement and Modeling of Computer Systems, Mumbai, India, June 6 - 10, 2022}, pages = {63--64}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489048.3522638}, doi = {10.1145/3489048.3522638}, timestamp = {Wed, 01 Mar 2023 21:16:34 +0100}, biburl = {https://dblp.org/rec/conf/sigmetrics/DasA0RB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/SinghGRBB22, author = {Swati Singh and Vinay Gupta and Tharun Kumar Reddy and Braj Bhushan and Laxmidhar Behera}, title = {Meditation and Cognitive Enhancement: {A} Machine Learning Based Classification Using {EEG}}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2022, Prague, Czech Republic, October 9-12, 2022}, pages = {1973--1978}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SMC53654.2022.9945131}, doi = {10.1109/SMC53654.2022.9945131}, timestamp = {Thu, 01 Dec 2022 15:59:35 +0100}, biburl = {https://dblp.org/rec/conf/smc/SinghGRBB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tdit/SinghSJJA22, author = {Vinay Kumar Singh and Vaibhav Sharma and Naween Kumar Jha and Anbesh Jamwal and Rajeev Agarwal}, editor = {Sujeet Kumar Sharma and Yogesh K. Dwivedi and Bhimaraya A. Metri and Banita Lal and Amany R. Elbanna}, title = {Multimodal Transportation and Net Zero Emission World: An Emerging Research Agenda}, booktitle = {Transfer, Diffusion and Adoption of Next-Generation Digital Technologies - {IFIP} {WG} 8.6 International Working Conference on Transfer and Diffusion of IT, {TDIT} 2023, Nagpur, India, December 15-16, 2023, Proceedings, Part {II}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {698}, pages = {234--241}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-50192-0\_20}, doi = {10.1007/978-3-031-50192-0\_20}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/tdit/SinghSJJA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tdit/SubbanarasimhaV22, author = {Rashmi Pavagada Subbanarasimha and Vinay Reddy Venumuddala and Amit Prakash and Bidisha Chaudhuri}, editor = {Sujeet Kumar Sharma and Yogesh K. Dwivedi and Bhimaraya A. Metri and Banita Lal and Amany R. Elbanna}, title = {Complexity of Last Mile Networks and Ethics of Smart City Interventions: {A} Case of Solid Waste Management Intervention in Karnataka}, booktitle = {Transfer, Diffusion and Adoption of Next-Generation Digital Technologies - {IFIP} {WG} 8.6 International Working Conference on Transfer and Diffusion of IT, {TDIT} 2023, Nagpur, India, December 15-16, 2023, Proceedings, Part {I}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {697}, pages = {341--353}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-50188-3\_30}, doi = {10.1007/978-3-031-50188-3\_30}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/tdit/SubbanarasimhaV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vdat/KumarSPKPK22, author = {Ramesh Kumar and Ajay Kumar Singh and Chiragkumar Patel and S. Vinay Kumar and Himanshu N. Patel and B. Saravana Kumar}, editor = {Ambika Prasad Shah and Sudeb Dasgupta and Anand D. Darji and Jaynarayan T. Tudu}, title = {Development of Distributed Controller for Electronic Beam Steering Using Indigenous Rad-Hard {ASIC}}, booktitle = {{VLSI} Design and Test - 26th International Symposium, {VDAT} 2022, Jammu, India, July 17-19, 2022, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1687}, pages = {527--539}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-21514-8\_43}, doi = {10.1007/978-3-031-21514-8\_43}, timestamp = {Mon, 19 Dec 2022 15:57:00 +0100}, biburl = {https://dblp.org/rec/conf/vdat/KumarSPKPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ChapalaMZ22, author = {Vinay Kumar Chapala and Arsalan Malik and Syed Mohammad Zafaruddin}, title = {RIS-Assisted Vehicular Network with Direct Transmission over Double-Generalized Gamma Fading Channels}, booktitle = {95th {IEEE} Vehicular Technology Conference, {VTC} Spring 2022, Helsinki, Finland, June 19-22, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VTC2022-Spring54318.2022.9860940}, doi = {10.1109/VTC2022-SPRING54318.2022.9860940}, timestamp = {Wed, 25 Jan 2023 10:28:23 +0100}, biburl = {https://dblp.org/rec/conf/vtc/ChapalaMZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/BirhanePW22, author = {Abeba Birhane and Vinay Uday Prabhu and John Whaley}, title = {Auditing saliency cropping algorithms}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2022, Waikoloa, HI, USA, January 3-8, 2022}, pages = {1515--1523}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/WACV51458.2022.00158}, doi = {10.1109/WACV51458.2022.00158}, timestamp = {Thu, 17 Feb 2022 14:50:06 +0100}, biburl = {https://dblp.org/rec/conf/wacv/BirhanePW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wisec/Vinayaga-Sureshkanth22, author = {Nisha Vinayaga{-}Sureshkanth and Raveen Wijewickrama and Anindya Maiti and Murtuza Jadliwala}, editor = {Murtuza Jadliwala and Yongdae Kim and Alexandra Dmitrienko}, title = {An Investigative Study on the Privacy Implications of Mobile E-scooter Rental Apps}, booktitle = {WiSec '22: 15th {ACM} Conference on Security and Privacy in Wireless and Mobile Networks, San Antonio, TX, USA, May 16 - 19, 2022}, pages = {125--139}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3507657.3528551}, doi = {10.1145/3507657.3528551}, timestamp = {Wed, 11 May 2022 08:49:13 +0200}, biburl = {https://dblp.org/rec/conf/wisec/Vinayaga-Sureshkanth22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wowmom/GhoshKRGAC22, author = {Debjani Ghosh and Hardik Katehara and Oshin Rawlley and Shashank Gupta and Naveen Arulselvan and Vinay Chamola}, title = {Artificial Intelligence-Empowered Optimal Roadside Unit {(RSU)} Deployment Mechanism for Internet of Vehicles (IoV)}, booktitle = {23rd {IEEE} International Symposium on a World of Wireless, Mobile and Multimedia Networks, WoWMoM 2022, Belfast, United Kingdom, June 14-17, 2022}, pages = {495--500}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/WoWMoM54355.2022.00077}, doi = {10.1109/WOWMOM54355.2022.00077}, timestamp = {Thu, 11 Aug 2022 16:32:04 +0200}, biburl = {https://dblp.org/rec/conf/wowmom/GhoshKRGAC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/BaratPPDK22, author = {Souvik Barat and Aditya A. Paranjape and Ritu Parchure and Shrinivas Darak and Vinay Kulkarni}, title = {Agent Based Simulatable City Digital Twin to Explore Dynamics of Covid-19 Pandemic}, booktitle = {Winter Simulation Conference, {WSC} 2022, Singapore, December 11-14, 2022}, pages = {557--568}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/WSC57314.2022.10015396}, doi = {10.1109/WSC57314.2022.10015396}, timestamp = {Wed, 08 Feb 2023 22:09:21 +0100}, biburl = {https://dblp.org/rec/conf/wsc/BaratPPDK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/KulkarniBCB22, author = {Vinay Kulkarni and Souvik Barat and Tony Clark and Balbir S. Barn}, title = {Digital Twin as an Aid for Decision-Making in the Face of Uncertainty}, booktitle = {Winter Simulation Conference, {WSC} 2022, Singapore, December 11-14, 2022}, pages = {1371--1385}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/WSC57314.2022.10015528}, doi = {10.1109/WSC57314.2022.10015528}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wsc/KulkarniBCB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/ParanjapeBBSGK22, author = {Aditya A. Paranjape and Souvik Barat and Anwesha Basu and Rohan Salvi and Supratim Ghosh and Vinay Kulkarni}, title = {{MODELING} {AND} {SIMULATION} {FOR} {THE} {SPREAD} {OF} {COVID-19} {IN} {AN} {INDIAN} {CITY:} {A} {CASE} {STUDY}}, booktitle = {Winter Simulation Conference, {WSC} 2022, Singapore, December 11-14, 2022}, pages = {593--604}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/WSC57314.2022.10015485}, doi = {10.1109/WSC57314.2022.10015485}, timestamp = {Wed, 08 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wsc/ParanjapeBBSGK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/ThorveVSMM22, author = {Swapna Thorve and Anil Vullikanti and Samarth Swarup and Henning S. Mortveit and Madhav V. Marathe}, title = {Modular and Extensible Pipelines for Residential Energy Demand Modeling and Simulation}, booktitle = {Winter Simulation Conference, {WSC} 2022, Singapore, December 11-14, 2022}, pages = {855--866}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/WSC57314.2022.10015339}, doi = {10.1109/WSC57314.2022.10015339}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wsc/ThorveVSMM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/crc/22/AlamABK0M22, author = {Md. Maksudul Alam and Shaikh Arifuzzaman and Md Hasanuzzaman Bhuiyan and Maleq Khan and V. S. Anil Kumar and Madhav V. Marathe}, editor = {David A. Bader}, title = {Distributed Memory Parallel Algorithms for Massive Graphs}, booktitle = {Massive Graph Analytics}, pages = {85--107}, publisher = {Chapman and Hall/CRC}, year = {2022}, url = {https://doi.org/10.1201/9781003033707-6}, doi = {10.1201/9781003033707-6}, timestamp = {Wed, 22 Nov 2023 12:12:06 +0100}, biburl = {https://dblp.org/rec/books/crc/22/AlamABK0M22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ifip8-1/2022w, editor = {Dominik Bork and Souvik Barat and Petra Maria Asprion and Alessandro Marcelletti and Andrea Morichetta and Bettina Schneider and Vinay Kulkarni and Ruth Breu and Philipp Zech}, title = {Proceedings of the PoEM 2022 Workshops and Models at Work co-located with Practice of Enterprise Modelling 2022, London, United Kingdom, November 23-25, 2022}, series = {{CEUR} Workshop Proceedings}, volume = {3298}, publisher = {CEUR-WS.org}, year = {2022}, url = {https://ceur-ws.org/Vol-3298}, urn = {urn:nbn:de:0074-3298-7}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ifip8-1/2022w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-04803, author = {Tejasvi Alladi and Vinay Chamola and Nishad Sahu and Vishnu Venkatesh and Adit Goyal and Mohsen Guizani}, title = {A Comprehensive Survey on the Applications of Blockchain for Securing Vehicular Networks}, journal = {CoRR}, volume = {abs/2201.04803}, year = {2022}, url = {https://arxiv.org/abs/2201.04803}, eprinttype = {arXiv}, eprint = {2201.04803}, timestamp = {Thu, 20 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-04803.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-06997, author = {Mredulraj S. Pandianchery and Gopalakrishnan E. A and V. Sowmya and Vinayakumar Ravi and Soman K. P}, title = {Explainable {AI} Framework for {COVID-19} Prediction in Different Provinces of India}, journal = {CoRR}, volume = {abs/2201.06997}, year = {2022}, url = {https://arxiv.org/abs/2201.06997}, eprinttype = {arXiv}, eprint = {2201.06997}, timestamp = {Thu, 29 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-06997.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-04705, author = {George Z. Li and Ann Li and Madhav V. Marathe and Aravind Srinivasan and Leonidas Tsepenekas and Anil Vullikanti}, title = {Deploying Vaccine Distribution Sites for Improved Accessibility and Equity to Support Pandemic Response}, journal = {CoRR}, volume = {abs/2202.04705}, year = {2022}, url = {https://arxiv.org/abs/2202.04705}, eprinttype = {arXiv}, eprint = {2202.04705}, timestamp = {Thu, 07 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-04705.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-07092, author = {Rounak Meyur and Swapna Thorve and Madhav V. Marathe and Anil Vullikanti and Samarth Swarup and Henning S. Mortveit}, title = {A Reliability-aware Distributed Framework to Schedule Residential Charging of Electric Vehicles}, journal = {CoRR}, volume = {abs/2202.07092}, year = {2022}, url = {https://arxiv.org/abs/2202.07092}, eprinttype = {arXiv}, eprint = {2202.07092}, timestamp = {Thu, 07 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-07092.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-09483, author = {Rasika Bhalerao and Mohammad Al{-}Rubaie and Anand Bhaskar and Igor L. Markov}, title = {Data-Driven Mitigation of Adversarial Text Perturbation}, journal = {CoRR}, volume = {abs/2202.09483}, year = {2022}, url = {https://arxiv.org/abs/2202.09483}, eprinttype = {arXiv}, eprint = {2202.09483}, timestamp = {Sat, 17 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-09483.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-11485, author = {Vinayak Gupta and Srikanta Bedathur and Abir De}, title = {Learning Temporal Point Processes for Efficient Retrieval of Continuous Time Event Sequences}, journal = {CoRR}, volume = {abs/2202.11485}, year = {2022}, url = {https://arxiv.org/abs/2202.11485}, eprinttype = {arXiv}, eprint = {2202.11485}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-11485.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-12950, author = {Xiaoxi Wei and A. Aldo Faisal and Moritz Grosse{-}Wentrup and Alexandre Gramfort and Sylvain Chevallier and Vinay Jayaram and Camille Jeunet and Stylianos Bakas and Siegfried Ludwig and Konstantinos Barmpas and Mehdi Bahri and Yannis Panagakis and Nikolaos A. Laskaris and Dimitrios A. Adamos and Stefanos Zafeiriou and William C. Duong and Stephen M. Gordon and Vernon J. Lawhern and Maciej Sliwowski and Vincent Rouanne and Piotr Tempczyk}, title = {2021 {BEETL} Competition: Advancing Transfer Learning for Subject Independence {\&} Heterogenous {EEG} Data Sets}, journal = {CoRR}, volume = {abs/2202.12950}, year = {2022}, url = {https://arxiv.org/abs/2202.12950}, eprinttype = {arXiv}, eprint = {2202.12950}, timestamp = {Thu, 03 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-12950.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-00849, author = {Hassan Ashtiani and Vinayak Pathak and Ruth Urner}, title = {Adversarially Robust Learning with Tolerance}, journal = {CoRR}, volume = {abs/2203.00849}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.00849}, doi = {10.48550/ARXIV.2203.00849}, eprinttype = {arXiv}, eprint = {2203.00849}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-00849.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-06583, author = {Mohan Rao B. C and Vinayak Arkachaari and Harsha M. N and Sushmitha M. N and Gayathri Ramesh K. K and Ullas M. S and Pathi Mohan Rao and Sudha G and Narayana Darapaneni}, title = {Bi-Sampling Approach to Classify Music Mood leveraging Raga-Rasa Association in Indian Classical Music}, journal = {CoRR}, volume = {abs/2203.06583}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.06583}, doi = {10.48550/ARXIV.2203.06583}, eprinttype = {arXiv}, eprint = {2203.06583}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-06583.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-08470, author = {Vinay Ummadi}, title = {U-Net and its variants for Medical Image Segmentation : {A} short review}, journal = {CoRR}, volume = {abs/2204.08470}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.08470}, doi = {10.48550/ARXIV.2204.08470}, eprinttype = {arXiv}, eprint = {2204.08470}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-08470.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-09958, author = {Vinay Kumar Chapala and Arsalan Malik and Syed Mohammad Zafaruddin}, title = {RIS-Assisted Vehicular Network with Direct Transmission over Double-Generalized Gamma Fading Channels}, journal = {CoRR}, volume = {abs/2204.09958}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.09958}, doi = {10.48550/ARXIV.2204.09958}, eprinttype = {arXiv}, eprint = {2204.09958}, timestamp = {Mon, 25 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-09958.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-01588, author = {Zijian Zhang and Vinay Setty and Avishek Anand}, title = {SparCAssist: {A} Model Risk Assessment Assistant Based on Sparse Generated Counterfactuals}, journal = {CoRR}, volume = {abs/2205.01588}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.01588}, doi = {10.48550/ARXIV.2205.01588}, eprinttype = {arXiv}, eprint = {2205.01588}, timestamp = {Thu, 05 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-01588.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-14459, author = {Shashank Goel and Hritik Bansal and Sumit Bhatia and Ryan A. Rossi and Vishwa Vinay and Aditya Grover}, title = {CyCLIP: Cyclic Contrastive Language-Image Pretraining}, journal = {CoRR}, volume = {abs/2205.14459}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.14459}, doi = {10.48550/ARXIV.2205.14459}, eprinttype = {arXiv}, eprint = {2205.14459}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-14459.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-02470, author = {Vishwa Vinay and Manoj Kilaru and David Arbour}, title = {Offline Evaluation of Ranked Lists using Parametric Estimation of Propensities}, journal = {CoRR}, volume = {abs/2206.02470}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.02470}, doi = {10.48550/ARXIV.2206.02470}, eprinttype = {arXiv}, eprint = {2206.02470}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-02470.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-12414, author = {Vinayak Gupta and Srikanta Bedathur and Sourangshu Bhattacharya and Abir De}, title = {Modeling Continuous Time Sequences with Intermittent Observations using Marked Temporal Point Processes}, journal = {CoRR}, volume = {abs/2206.12414}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.12414}, doi = {10.48550/ARXIV.2206.12414}, eprinttype = {arXiv}, eprint = {2206.12414}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-12414.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-14153, author = {Shreyas Gawde and Shruti Patil and Satish Kumar and Pooja Kamat and Ketan Kotecha and Ajith Abraham}, title = {Multi-Fault Diagnosis Of Industrial Rotating Machines Using Data-Driven Approach: {A} Review Of Two Decades Of Research}, journal = {CoRR}, volume = {abs/2206.14153}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.14153}, doi = {10.48550/ARXIV.2206.14153}, eprinttype = {arXiv}, eprint = {2206.14153}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-14153.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-14858, author = {Aitor Lewkowycz and Anders Andreassen and David Dohan and Ethan Dyer and Henryk Michalewski and Vinay V. Ramasesh and Ambrose Slone and Cem Anil and Imanol Schlag and Theo Gutman{-}Solo and Yuhuai Wu and Behnam Neyshabur and Guy Gur{-}Ari and Vedant Misra}, title = {Solving Quantitative Reasoning Problems with Language Models}, journal = {CoRR}, volume = {abs/2206.14858}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.14858}, doi = {10.48550/ARXIV.2206.14858}, eprinttype = {arXiv}, eprint = {2206.14858}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-14858.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-02120, author = {Vinay Prakash and O. Sauvage and J. Antoni and Laurent Gagliardini}, title = {Bayesian {NVH} metamodels to assess interior cabin noise using measurement databases}, journal = {CoRR}, volume = {abs/2207.02120}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.02120}, doi = {10.48550/ARXIV.2207.02120}, eprinttype = {arXiv}, eprint = {2207.02120}, timestamp = {Sat, 09 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-02120.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-03729, author = {Rishi Agarwal and Tirupati Saketh Chandra and Vaidehi Patil and Aniruddha Mahapatra and Kuldeep Kulkarni and Vishwa Vinay}, title = {{GEMS:} Scene Expansion using Generative Models of Graphs}, journal = {CoRR}, volume = {abs/2207.03729}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.03729}, doi = {10.48550/ARXIV.2207.03729}, eprinttype = {arXiv}, eprint = {2207.03729}, timestamp = {Wed, 13 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-03729.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-04204, author = {Harbinder Singh and Dinesh Arora and Vinay Kumar}, title = {Variational Approach for Intensity Domain Multi-exposure Image Fusion}, journal = {CoRR}, volume = {abs/2207.04204}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.04204}, doi = {10.48550/ARXIV.2207.04204}, eprinttype = {arXiv}, eprint = {2207.04204}, timestamp = {Wed, 13 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-04204.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-04901, author = {Cem Anil and Yuhuai Wu and Anders Andreassen and Aitor Lewkowycz and Vedant Misra and Vinay V. Ramasesh and Ambrose Slone and Guy Gur{-}Ari and Ethan Dyer and Behnam Neyshabur}, title = {Exploring Length Generalization in Large Language Models}, journal = {CoRR}, volume = {abs/2207.04901}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.04901}, doi = {10.48550/ARXIV.2207.04901}, eprinttype = {arXiv}, eprint = {2207.04901}, timestamp = {Wed, 13 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-04901.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-00880, author = {James Koch and Thomas Maxner and Vinay Amatya and Andisheh Ranjbari and Chase Dowling}, title = {Physics-informed Machine Learning of Parameterized Fundamental Diagrams}, journal = {CoRR}, volume = {abs/2208.00880}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.00880}, doi = {10.48550/ARXIV.2208.00880}, eprinttype = {arXiv}, eprint = {2208.00880}, timestamp = {Tue, 09 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-00880.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-05305, author = {Suvarna Kishorkumar Kadam and Vinay G. Vaidya}, title = {Generative Transfer Learning: Covid-19 Classification with a few Chest X-ray Images}, journal = {CoRR}, volume = {abs/2208.05305}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.05305}, doi = {10.48550/ARXIV.2208.05305}, eprinttype = {arXiv}, eprint = {2208.05305}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-05305.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-06384, author = {Rounak Meyur and Anil Vullikanti and Samarth Swarup and Henning S. Mortveit and Virgilio Centeno and Arun G. Phadke and H. Vincent Poor and Madhav V. Marathe}, title = {Ensembles of Realistic Power Distribution Networks}, journal = {CoRR}, volume = {abs/2208.06384}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.06384}, doi = {10.48550/ARXIV.2208.06384}, eprinttype = {arXiv}, eprint = {2208.06384}, timestamp = {Wed, 26 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-06384.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-09788, author = {Aditya Agarwal and Bipasha Sen and Rudrabha Mukhopadhyay and Vinay P. Namboodiri and C. V. Jawahar}, title = {FaceOff: {A} Video-to-Video Face Swapping System}, journal = {CoRR}, volume = {abs/2208.09788}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.09788}, doi = {10.48550/ARXIV.2208.09788}, eprinttype = {arXiv}, eprint = {2208.09788}, timestamp = {Wed, 21 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-09788.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-09796, author = {Aditya Agarwal and Bipasha Sen and Rudrabha Mukhopadhyay and Vinay P. Namboodiri and C. V. Jawahar}, title = {Towards MOOCs for Lip Reading: Using Synthetic Talking Heads to Train Humans in Lipreading at Scale}, journal = {CoRR}, volume = {abs/2208.09796}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.09796}, doi = {10.48550/ARXIV.2208.09796}, eprinttype = {arXiv}, eprint = {2208.09796}, timestamp = {Wed, 21 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-09796.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-00130, author = {Ashvala Vinay and Alexander Lerch}, title = {Evaluating generative audio systems and their metrics}, journal = {CoRR}, volume = {abs/2209.00130}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.00130}, doi = {10.48550/ARXIV.2209.00130}, eprinttype = {arXiv}, eprint = {2209.00130}, timestamp = {Mon, 19 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-00130.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-01535, author = {Kazi Ashik Islam and Da Qi Chen and Madhav V. Marathe and Henning S. Mortveit and Samarth Swarup and Anil Vullikanti}, title = {A Scalable Data-Driven Technique for Joint Evacuation Routing and Scheduling Problems}, journal = {CoRR}, volume = {abs/2209.01535}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.01535}, doi = {10.48550/ARXIV.2209.01535}, eprinttype = {arXiv}, eprint = {2209.01535}, timestamp = {Wed, 26 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-01535.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-11230, author = {Mahendra Kumar Gourisaria and Vinayak Singh and Manoj Sahni}, title = {A Trio-Method for Retinal Vessel Segmentation using Image Processing}, journal = {CoRR}, volume = {abs/2209.11230}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.11230}, doi = {10.48550/ARXIV.2209.11230}, eprinttype = {arXiv}, eprint = {2209.11230}, timestamp = {Thu, 29 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-11230.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-02755, author = {Madhav Agarwal and Rudrabha Mukhopadhyay and Vinay P. Namboodiri and C. V. Jawahar}, title = {Audio-Visual Face Reenactment}, journal = {CoRR}, volume = {abs/2210.02755}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.02755}, doi = {10.48550/ARXIV.2210.02755}, eprinttype = {arXiv}, eprint = {2210.02755}, timestamp = {Fri, 07 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-02755.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-03692, author = {Madhav Agarwal and Anchit Gupta and Rudrabha Mukhopadhyay and Vinay P. Namboodiri and C. V. Jawahar}, title = {Compressing Video Calls using Synthetic Talking Heads}, journal = {CoRR}, volume = {abs/2210.03692}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.03692}, doi = {10.48550/ARXIV.2210.03692}, eprinttype = {arXiv}, eprint = {2210.03692}, timestamp = {Wed, 12 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-03692.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-08103, author = {Swapna Thorve and Young Yun Baek and Samarth Swarup and Henning S. Mortveit and Achla Marathe and Anil Vullikanti and Madhav V. Marathe}, title = {High-resolution synthetic residential energy use profiles for the United States}, journal = {CoRR}, volume = {abs/2210.08103}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.08103}, doi = {10.48550/ARXIV.2210.08103}, eprinttype = {arXiv}, eprint = {2210.08103}, timestamp = {Wed, 26 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-08103.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-16579, author = {Bipasha Sen and Aditya Agarwal and Vinay P. Namboodiri and C. V. Jawahar}, title = {{INR-V:} {A} Continuous Representation Space for Video-based Generative Tasks}, journal = {CoRR}, volume = {abs/2210.16579}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.16579}, doi = {10.48550/ARXIV.2210.16579}, eprinttype = {arXiv}, eprint = {2210.16579}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-16579.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-00004, author = {Anupama Ray and Sai Sakunthala Guddanti and Vishnu Ajith and Dhinakaran Vinayagamurthy}, title = {Classical ensemble of Quantum-classical {ML} algorithms for Phishing detection in Ethereum transaction networks}, journal = {CoRR}, volume = {abs/2211.00004}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.00004}, doi = {10.48550/ARXIV.2211.00004}, eprinttype = {arXiv}, eprint = {2211.00004}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-00004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-02646, author = {Gaurav Verma and Vishwa Vinay and Ryan A. Rossi and Srijan Kumar}, title = {Robustness of Fusion-based Multimodal Classifiers to Cross-Modal Content Dilutions}, journal = {CoRR}, volume = {abs/2211.02646}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.02646}, doi = {10.48550/ARXIV.2211.02646}, eprinttype = {arXiv}, eprint = {2211.02646}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-02646.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-06106, author = {Rasoul Shahsavarifar and Jithu Chandran and Mario Inchiosa and Amit Deshpande and Mario Schlener and Vishal Gossain and Yara Elias and Vinaya Murali}, title = {Identifying, measuring, and mitigating individual unfairness for supervised learning models and application to credit risk models}, journal = {CoRR}, volume = {abs/2211.06106}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.06106}, doi = {10.48550/ARXIV.2211.06106}, eprinttype = {arXiv}, eprint = {2211.06106}, timestamp = {Tue, 15 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-06106.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-06666, author = {Sushi Anna George and Vinay Joseph}, title = {Optimizing Bandwidth Sharing for Real-time Traffic in Wireless Networks}, journal = {CoRR}, volume = {abs/2211.06666}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.06666}, doi = {10.48550/ARXIV.2211.06666}, eprinttype = {arXiv}, eprint = {2211.06666}, timestamp = {Tue, 15 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-06666.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-06913, author = {Jeeseop Kim and Randall T. Fawcett and Vinay R. Kamidi and Aaron D. Ames and Kaveh Akbari Hamed}, title = {Layered Control for Cooperative Locomotion of Two Quadrupedal Robots: Centralized and Distributed Approaches}, journal = {CoRR}, volume = {abs/2211.06913}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.06913}, doi = {10.48550/ARXIV.2211.06913}, eprinttype = {arXiv}, eprint = {2211.06913}, timestamp = {Tue, 15 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-06913.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-07092, author = {Imon Banerjee and Harsha Honnappa and Vinayak A. Rao}, title = {Offline Estimation of Controlled Markov Chains: Minimax Nonparametric Estimators and Sample Efficiency}, journal = {CoRR}, volume = {abs/2211.07092}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.07092}, doi = {10.48550/ARXIV.2211.07092}, eprinttype = {arXiv}, eprint = {2211.07092}, timestamp = {Wed, 16 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-07092.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-10883, author = {Shailza Sharma and Abhinav Dhall and Vinay Kumar and Vivek Singh Bawa}, title = {Audio-visual video face hallucination with frequency supervision and cross modality support by speech based lip reading loss}, journal = {CoRR}, volume = {abs/2211.10883}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.10883}, doi = {10.48550/ARXIV.2211.10883}, eprinttype = {arXiv}, eprint = {2211.10883}, timestamp = {Thu, 24 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-10883.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-02872, author = {Manuel Le Gallo and Riduan Khaddam{-}Aljameh and Milos Stanisavljevic and Athanasios Vasilopoulos and Benedikt Kersting and Martino Dazzi and Geethan Karunaratne and Matthias Braendli and Abhairaj Singh and Silvia M. Mueller and Julian B{\"{u}}chel and Xavier Timoneda Comas and Vinay Joshi and Urs Egger and Angelo Garofalo and Anastasios Petropoulos and Theodore Antonakopoulos and Kevin Brew and Samuel Choi and Injo Ok and Timothy Philip and Victor Chan and Mary Claire Silvestre and Ishtiaq Ahsan and Nicole Saulnier and Vijay Narayanan and Pier Andrea Francese and Evangelos Eleftheriou and Abu Sebastian}, title = {A 64-core mixed-signal in-memory compute chip based on phase-change memory for deep neural network inference}, journal = {CoRR}, volume = {abs/2212.02872}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.02872}, doi = {10.48550/ARXIV.2212.02872}, eprinttype = {arXiv}, eprint = {2212.02872}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-02872.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-06822, author = {Vinay Jogani and Joy Purohit and Ishaan Shivhare and Samina Attari and Shraddha Surtkar}, title = {Adversarial Attacks and Defences for Skin Cancer Classification}, journal = {CoRR}, volume = {abs/2212.06822}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.06822}, doi = {10.48550/ARXIV.2212.06822}, eprinttype = {arXiv}, eprint = {2212.06822}, timestamp = {Mon, 02 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-06822.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aai/PilaniMACTK21, author = {Akshay Pilani and Kritagya Mathur and Himanshu Agrawal and Deeksha Chandola and Vinay Anand Tikkiwal and Arun Kumar}, title = {Contextual Bandit Approach-based Recommendation System for Personalized Web-based Services}, journal = {Appl. Artif. Intell.}, volume = {35}, number = {7}, pages = {489--504}, year = {2021}, url = {https://doi.org/10.1080/08839514.2021.1883855}, doi = {10.1080/08839514.2021.1883855}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aai/PilaniMACTK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/BawaSUGK21, author = {Vivek Singh Bawa and Shailza Sharma and Mohammed Usman and Abhimat Gupta and Vinay Kumar}, title = {An Automatic Multimedia Likability Prediction System Based on Facial Expression of Observer}, journal = {{IEEE} Access}, volume = {9}, pages = {110421--110434}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3102042}, doi = {10.1109/ACCESS.2021.3102042}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/BawaSUGK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/BharadwajACLHGS21, author = {Hemantha Krishna Bharadwaj and Aayush Agarwal and Vinay Chamola and Naga Rajiv Lakkaniga and Vikas Hassija and Mohsen Guizani and Biplab Sikdar}, title = {A Review on the Role of Machine Learning in Enabling IoT Based Healthcare Applications}, journal = {{IEEE} Access}, volume = {9}, pages = {38859--38890}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3059858}, doi = {10.1109/ACCESS.2021.3059858}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/BharadwajACLHGS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/BhargaviJGSJ21, author = {K. M. Bhargavi and N. S. Jayalakshmi and D. N. Gaonkar and Ashish Shrivastava and Vinay Kumar Jadoun}, title = {A Comprehensive Review on Control Techniques for Power Management of Isolated {DC} Microgrid System Operation}, journal = {{IEEE} Access}, volume = {9}, pages = {32196--32228}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3060504}, doi = {10.1109/ACCESS.2021.3060504}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/BhargaviJGSJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/FaradeWMAJVVKK21, author = {Rizwan A. Farade and Noor Izzri Abdul Wahab and Diaa{-}Eldin A. Mansour and Norhafiz B. Azis and Jasronita Jasni and Veerapandiyan Veerasamy and Arangarajan Vinayagam and Bandanawaz M. Kotiyal and T. M. Yunus Khan}, title = {The Effect of Interfacial Zone Due to Nanoparticle-Surfactant Interaction on Dielectric Properties of Vegetable Oil Based Nanofluids}, journal = {{IEEE} Access}, volume = {9}, pages = {107033--107045}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3098758}, doi = {10.1109/ACCESS.2021.3098758}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/FaradeWMAJVVKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JainASBNKK21, author = {Saurabh Jain and Neelu Jyothi Ahuja and P. Srikanth and Kishor Vinayak Bhadane and Bharathram Nagaiah and Adarsh Kumar and Charalambos Konstantinou}, title = {Blockchain and Autonomous Vehicles: Recent Advances and Future Directions}, journal = {{IEEE} Access}, volume = {9}, pages = {130264--130328}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3113649}, doi = {10.1109/ACCESS.2021.3113649}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JainASBNKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/PadhyJBCS21, author = {Ashish Padhy and Sandeep Joshi and Sainath Bitragunta and Vinay Chamola and Biplab Sikdar}, title = {A Survey of Energy and Spectrum Harvesting Technologies and Protocols for Next Generation Wireless Networks}, journal = {{IEEE} Access}, volume = {9}, pages = {1737--1769}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2020.3046770}, doi = {10.1109/ACCESS.2020.3046770}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/PadhyJBCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SayyadKBKPK21, author = {Sameer Sayyad and Satish Kumar and Arunkumar M. Bongale and Pooja Kamat and Shruti Patil and Ketan Kotecha}, title = {Data-Driven Remaining Useful Life Estimation for Milling Process: Sensors, Algorithms, Datasets, and Future Directions}, journal = {{IEEE} Access}, volume = {9}, pages = {110255--110286}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3101284}, doi = {10.1109/ACCESS.2021.3101284}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SayyadKBKPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SharmaCC21, author = {Vinayak Sharma and Andres Cortes and Umit Cali}, title = {Use of Forecasting in Energy Storage Applications: {A} Review}, journal = {{IEEE} Access}, volume = {9}, pages = {114690--114704}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3103844}, doi = {10.1109/ACCESS.2021.3103844}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SharmaCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SharmaJGC21, author = {Abhinav Sharma and Arpit Jain and Prateek Gupta and Vinay Chowdary}, title = {Machine Learning Applications for Precision Agriculture: {A} Comprehensive Review}, journal = {{IEEE} Access}, volume = {9}, pages = {4843--4873}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2020.3048415}, doi = {10.1109/ACCESS.2020.3048415}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SharmaJGC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/VeerasamyWOPSRH21, author = {Veerapandiyan Veerasamy and Noor Izzri Abdul Wahab and Mohammad Lutfi Othman and Sanjeevikumar Padmanaban and Kavaskar Sekar and Rajeswari Ramachandran and Hashim Hizam and Arangarajan Vinayagam and Mohammad Zohrul Islam}, title = {{LSTM} Recurrent Neural Network Classifier for High Impedance Fault Detection in Solar {PV} Integrated Power System}, journal = {{IEEE} Access}, volume = {9}, pages = {32672--32687}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3060800}, doi = {10.1109/ACCESS.2021.3060800}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/VeerasamyWOPSRH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WartySSFMM21, author = {Ritesh Rikain Warty and Vinayak Smith and Mohamed Salih and Deborah Fox and Sally L. Mcarthur and Ben Willem J. Mol}, title = {Barriers to the Diffusion of Medical Technologies Within Healthcare: {A} Systematic Review}, journal = {{IEEE} Access}, volume = {9}, pages = {139043--139058}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3118554}, doi = {10.1109/ACCESS.2021.3118554}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WartySSFMM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/adhoc/ChamolaKANGG21, author = {Vinay Chamola and Pavan Kotesh and Aayush Agarwal and Naren Naren and Navneet Gupta and Mohsen Guizani}, title = {A Comprehensive Review of Unmanned Aerial Vehicle Attacks and Neutralization Techniques}, journal = {Ad Hoc Networks}, volume = {111}, pages = {102324}, year = {2021}, url = {https://doi.org/10.1016/j.adhoc.2020.102324}, doi = {10.1016/J.ADHOC.2020.102324}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/adhoc/ChamolaKANGG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/adhoc/HassijaBCAGGG21, author = {Vikas Hassija and Siddharth Batra and Vinay Chamola and Tanmay Anand and Poonam Goyal and Navneet Goyal and Mohsen Guizani}, title = {A blockchain and deep neural networks-based secure framework for enhanced crop protection}, journal = {Ad Hoc Networks}, volume = {119}, pages = {102537}, year = {2021}, url = {https://doi.org/10.1016/j.adhoc.2021.102537}, doi = {10.1016/J.ADHOC.2021.102537}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/adhoc/HassijaBCAGGG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/ThakurMHCSGS21, author = {Tanvi Thakur and Aryan Mehra and Vikas Hassija and Vinay Chamola and Rallapalli Srinivas and Karunesh Kumar Gupta and Ajit Pratap Singh}, title = {Smart water conservation through a machine learning and blockchain-enabled decentralized edge computing network}, journal = {Appl. Soft Comput.}, volume = {106}, pages = {107274}, year = {2021}, url = {https://doi.org/10.1016/j.asoc.2021.107274}, doi = {10.1016/J.ASOC.2021.107274}, timestamp = {Tue, 17 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/ThakurMHCSGS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/VinayagamVRSR21, author = {Arangarajan Vinayagam and Veerapandiyan Veerasamy and Padmavathi Radhakrishnan and Maheswari Sepperumal and Kalaivani Ramaiyan}, title = {An ensemble approach of classification model for detection and classification of power quality disturbances in {PV} integrated microgrid network}, journal = {Appl. Soft Comput.}, volume = {106}, pages = {107294}, year = {2021}, url = {https://doi.org/10.1016/j.asoc.2021.107294}, doi = {10.1016/J.ASOC.2021.107294}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/VinayagamVRSR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/BharadhwajABMLH21, author = {Vinay Srinivas Bharadhwaj and Mehdi Ali and Colin Birkenbihl and Sarah Mubeen and Jens Lehmann and Martin Hofmann{-}Apitius and Charles Tapley Hoyt and Daniel Domingo{-}Fern{\'{a}}ndez}, title = {{CLEP:} a hybrid data- and knowledge-driven framework for generating patient representations}, journal = {Bioinform.}, volume = {37}, number = {19}, pages = {3311--3318}, year = {2021}, url = {https://doi.org/10.1093/bioinformatics/btab340}, doi = {10.1093/BIOINFORMATICS/BTAB340}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/BharadhwajABMLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/MacDougallVSPZH21, author = {Alistair MacDougall and Vladimir Volynkin and Rabie Saidi and Diego Poggioli and Hermann Zellner and Emma Hatton{-}Ellis and Vishal Joshi and Claire O'Donovan and Sandra E. Orchard and Andrea H. Auchincloss and Delphine Baratin and Jerven T. Bolleman and Elisabeth Coudert and Edouard De Castro and Chantal Hulo and Patrick Masson and Ivo Pedruzzi and Catherine Rivoire and Cecilia N. Arighi and Qinghua Wang and Chuming Chen and Hongzhan Huang and John Garavelli and C. R. Vinayaka and Lai{-}Su Yeh and Darren A. Natale and Kati Laiho and Maria Jesus Martin and Alexandre Renaux and Klemens Pichler}, title = {UniRule: a unified rule resource for automatic annotation in the UniProt Knowledgebase}, journal = {Bioinform.}, volume = {36}, number = {22-23}, pages = {5562}, year = {2021}, url = {https://doi.org/10.1093/bioinformatics/btaa663}, doi = {10.1093/BIOINFORMATICS/BTAA663}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/MacDougallVSPZH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/YadavSRC21, author = {Vinay Surendra Yadav and Amit Raj Singh and Rakesh D. Raut and Naoufel Cheikhrouhou}, title = {Design of multi-objective sustainable food distribution network in the Indian context with multiple delivery channels}, journal = {Comput. Ind. Eng.}, volume = {160}, pages = {107549}, year = {2021}, url = {https://doi.org/10.1016/j.cie.2021.107549}, doi = {10.1016/J.CIE.2021.107549}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/candie/YadavSRC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/AroraNLDS21, author = {Vinay Arora and Eddie{-}Yin{-}Kwee Ng and Rohan Singh Leekha and Medhavi Darshan and Arshdeep Singh}, title = {Transfer learning-based approach for detecting {COVID-19} ailment in lung {CT} scan}, journal = {Comput. Biol. Medicine}, volume = {135}, pages = {104575}, year = {2021}, url = {https://doi.org/10.1016/j.compbiomed.2021.104575}, doi = {10.1016/J.COMPBIOMED.2021.104575}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/AroraNLDS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cin/AlsuhibanyAAF0K21, author = {Suliman A. Alsuhibany and Sayed Abdel{-}Khalek and Ali Algarni and Aisha Fayomi and Deepak Gupta and Vinay Kumar and Romany Fouad Mansour}, title = {Ensemble of Deep Learning Based Clinical Decision Support System for Chronic Kidney Disease Diagnosis in Medical Internet of Things Environment}, journal = {Comput. Intell. Neurosci.}, volume = {2021}, pages = {4931450:1--4931450:13}, year = {2021}, url = {https://doi.org/10.1155/2021/4931450}, doi = {10.1155/2021/4931450}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cin/AlsuhibanyAAF0K21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmm/SinghGSK21, author = {Gurjinder Singh and Arvind Garg and Rajat Singla and Vinay Kanwar}, title = {A novel two-parameter class of optimized hybrid block methods for integrating differential systems numerically}, journal = {Comput. Math. Methods}, volume = {3}, number = {6}, year = {2021}, url = {https://doi.org/10.1002/cmm4.1214}, doi = {10.1002/CMM4.1214}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmm/SinghGSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/BansalCKPA21, author = {Gaurang Bansal and Vinay Chamola and Georges Kaddoum and Md. Jalil Piran and Mubarak Alrashoud}, title = {Next generation stock exchange: Recurrent neural learning model for distributed ledger transactions}, journal = {Comput. Networks}, volume = {193}, pages = {107998}, year = {2021}, url = {https://doi.org/10.1016/j.comnet.2021.107998}, doi = {10.1016/J.COMNET.2021.107998}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/BansalCKPA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/ChamolaJCPH21, author = {Vinay Chamola and Alireza Jolfaei and Vaibhav Chanana and Prakhar Parashari and Vikas Hassija}, title = {Information security in the post quantum era for 5G and beyond networks: Threats to existing cryptography, and post-quantum cryptography}, journal = {Comput. Commun.}, volume = {176}, pages = {99--118}, year = {2021}, url = {https://doi.org/10.1016/j.comcom.2021.05.019}, doi = {10.1016/J.COMCOM.2021.05.019}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/comcom/ChamolaJCPH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computers/BijurRMK21, author = {Gururaj Bijur and M. Ramakrishna and Vinayak Mantoor and A. Kotegar Karunakar}, title = {Estimation of Adaptation Parameters for Dynamic Video Adaptation in Wireless Network Using Experimental Method}, journal = {Comput.}, volume = {10}, number = {4}, pages = {39}, year = {2021}, url = {https://doi.org/10.3390/computers10040039}, doi = {10.3390/COMPUTERS10040039}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/computers/BijurRMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comsur/HassijaCAGLNYG21, author = {Vikas Hassija and Vinay Chamola and Adhar Agrawal and Adit Goyal and Nguyen Cong Luong and Dusit Niyato and Fei Richard Yu and Mohsen Guizani}, title = {Fast, Reliable, and Secure Drone Communication: {A} Comprehensive Survey}, journal = {{IEEE} Commun. Surv. Tutorials}, volume = {23}, number = {4}, pages = {2802--2832}, year = {2021}, url = {https://doi.org/10.1109/COMST.2021.3097916}, doi = {10.1109/COMST.2021.3097916}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comsur/HassijaCAGLNYG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cor/AhluwaliaSD21, author = {Vinayak S. Ahluwalia and Lauren N. Steimle and Brian T. Denton}, title = {Policy-based branch-and-bound for infinite-horizon Multi-model Markov decision processes}, journal = {Comput. Oper. Res.}, volume = {126}, pages = {105108}, year = {2021}, url = {https://doi.org/10.1016/j.cor.2020.105108}, doi = {10.1016/J.COR.2020.105108}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cor/AhluwaliaSD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cssp/PadhySS21, author = {Aditya Prasad Padhy and Varsha Singh and Vinay Pratap Singh}, title = {Stable Approximation of Discrete Interval Systems}, journal = {Circuits Syst. Signal Process.}, volume = {40}, number = {10}, pages = {5204--5219}, year = {2021}, url = {https://doi.org/10.1007/s00034-021-01714-9}, doi = {10.1007/S00034-021-01714-9}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cssp/PadhySS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csysl/KamidiHGH21, author = {Vinay R. Kamidi and Jonathan C. Horn and Robert D. Gregg and Kaveh Akbari Hamed}, title = {Distributed Controllers for Human-Robot Locomotion: {A} Scalable Approach Based on Decomposition and Hybrid Zero Dynamics}, journal = {{IEEE} Control. Syst. Lett.}, volume = {5}, number = {6}, pages = {1976--1981}, year = {2021}, url = {https://doi.org/10.1109/LCSYS.2020.3045669}, doi = {10.1109/LCSYS.2020.3045669}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csysl/KamidiHGH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dint/SunkleJSPSRK21, author = {Sagar Sunkle and Deepak Jain and Krati Saxena and Ashwini Patil and Tushita Singh and Beena Rai and Vinay Kulkarni}, title = {Integrated "Generate, Make, and Test" for Formulated Products using Knowledge Graphs}, journal = {Data Intell.}, volume = {3}, number = {3}, pages = {340--375}, year = {2021}, url = {https://doi.org/10.1162/dint\_a\_00096}, doi = {10.1162/DINT\_A\_00096}, timestamp = {Mon, 14 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dint/SunkleJSPSRK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/SakshiK21, author = {Sakshi and Vinay Kukreja}, title = {A retrospective study on handwritten mathematical symbols and expressions: Classification and recognition}, journal = {Eng. Appl. Artif. Intell.}, volume = {103}, pages = {104292}, year = {2021}, url = {https://doi.org/10.1016/j.engappai.2021.104292}, doi = {10.1016/J.ENGAPPAI.2021.104292}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/SakshiK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eetcs/US21, author = {Arjun U and Vinay S}, title = {Outsourced Auditing With Data Integrity Verification Scheme {(OA-DIV)} and Dynamic Operations for Cloud Data with Multi-Copies}, journal = {{EAI} Endorsed Trans. Cloud Syst.}, volume = {7}, number = {20}, pages = {e4}, year = {2021}, url = {https://doi.org/10.4108/eai.27-4-2021.169423}, doi = {10.4108/EAI.27-4-2021.169423}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eetcs/US21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/BanerjeeRH21, author = {Imon Banerjee and Vinayak A. Rao and Harsha Honnappa}, title = {PAC-Bayes Bounds on Variational Tempered Posteriors for Markov Models}, journal = {Entropy}, volume = {23}, number = {3}, pages = {313}, year = {2021}, url = {https://doi.org/10.3390/e23030313}, doi = {10.3390/E23030313}, timestamp = {Wed, 28 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/BanerjeeRH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/GoldsteinPFNFK21, author = {Brunno F. Goldstein and Vinay C. Patil and Victor da Cruz Ferreira and Alexandre Solon Nery and Felipe M. G. Fran{\c{c}}a and Sandip Kundu}, title = {Preventing {DNN} Model {IP} Theft via Hardware Obfuscation}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {11}, number = {2}, pages = {267--277}, year = {2021}, url = {https://doi.org/10.1109/JETCAS.2021.3076151}, doi = {10.1109/JETCAS.2021.3076151}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/GoldsteinPFNFK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KalitaSK21, author = {Dhruba Jyoti Kalita and Vibhav Prakash Singh and Vinay Kumar}, title = {A dynamic framework for tuning {SVM} hyper parameters based on Moth-Flame Optimization and knowledge-based-search}, journal = {Expert Syst. Appl.}, volume = {168}, pages = {114139}, year = {2021}, url = {https://doi.org/10.1016/j.eswa.2020.114139}, doi = {10.1016/J.ESWA.2020.114139}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/KalitaSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ett/HassijaZJTCG21, author = {Vikas Hassija and Sherali Zeadally and Ishan Jain and Aman Tahiliani and Vinay Chamola and Shashank Gupta}, title = {Framework for determining the suitability of blockchain: Criteria and issues to consider}, journal = {Trans. Emerg. Telecommun. Technol.}, volume = {32}, number = {10}, year = {2021}, url = {https://doi.org/10.1002/ett.4334}, doi = {10.1002/ETT.4334}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ett/HassijaZJTCG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ett/KhareS21, author = {Priyank Khare and Vinay Kumar Srivastava}, title = {A Secured and Robust Medical Image Watermarking Approach for Protecting Integrity of Medical Images}, journal = {Trans. Emerg. Telecommun. Technol.}, volume = {32}, number = {2}, year = {2021}, url = {https://doi.org/10.1002/ett.3918}, doi = {10.1002/ETT.3918}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ett/KhareS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ewc/HimanshuKBMG21, author = {Navneet Himanshu and Vinay Kumar and Avijit Burman and Dipendu Maity and B. Gordan}, title = {Grey wolf optimization approach for searching critical failure surface in soil slopes}, journal = {Eng. Comput.}, volume = {37}, number = {3}, pages = {2059--2072}, year = {2021}, url = {https://doi.org/10.1007/s00366-019-00927-6}, doi = {10.1007/S00366-019-00927-6}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ewc/HimanshuKBMG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/PalATS21, author = {Om Pal and Bashir Alam and Vinay Thakur and Surendra Singh}, title = {Key management for blockchain technology}, journal = {{ICT} Express}, volume = {7}, number = {1}, pages = {76--80}, year = {2021}, url = {https://doi.org/10.1016/j.icte.2019.08.002}, doi = {10.1016/J.ICTE.2019.08.002}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ict-express/PalATS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieeenl/AlladiKCY21, author = {Tejasvi Alladi and Varun Kohli and Vinay Chamola and F. Richard Yu}, title = {Securing the Internet of Vehicles: {A} Deep Learning-Based Classification Framework}, journal = {{IEEE} Netw. Lett.}, volume = {3}, number = {2}, pages = {94--97}, year = {2021}, url = {https://doi.org/10.1109/lnet.2021.3058292}, doi = {10.1109/LNET.2021.3058292}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieeenl/AlladiKCY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieeenl/PraveenPCBG21, author = {Gorla Praveen and Devashree Ranjeev Paithankar and Vinay Chamola and Sainath Bitragunta and Mohsen Guizani}, title = {Optimal Spectral Resource Allocation and Pricing for 5G and Beyond: {A} Game Theoretic Approach}, journal = {{IEEE} Netw. Lett.}, volume = {3}, number = {3}, pages = {119--123}, year = {2021}, url = {https://doi.org/10.1109/lnet.2021.3081496}, doi = {10.1109/LNET.2021.3081496}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieeenl/PraveenPCBG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-qtc/MukhopadhyayBSBC21, author = {Avik Mukhopadhyay and Shantom Kumar Borah and Yashkalp Sharma and Sainath Bitragunta and Vinay Chamola}, title = {Study of different performance measures and their relations in satellite-based and terrestrial quantum communication}, journal = {{IET} Quantum Commun.}, volume = {2}, number = {4}, pages = {230--245}, year = {2021}, url = {https://doi.org/10.1049/qtc2.12016}, doi = {10.1049/QTC2.12016}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-qtc/MukhopadhyayBSBC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iiset/SteimleAKD21, author = {Lauren N. Steimle and Vinayak S. Ahluwalia and Charmee Kamdar and Brian T. Denton}, title = {Decomposition methods for solving Markov decision processes with multiple models of the parameters}, journal = {{IISE} Trans.}, volume = {53}, number = {12}, pages = {1295--1310}, year = {2021}, url = {https://doi.org/10.1080/24725854.2020.1869351}, doi = {10.1080/24725854.2020.1869351}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iiset/SteimleAKD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijamc-igi/HimanshuBK21, author = {Navneet Himanshu and Avijit Burman and Vinay Kumar}, title = {Numerical Study of Optimal Location of Non-Circular Segmented Failure Surface in Soil Slope With Weak Soil Layer}, journal = {Int. J. Appl. Metaheuristic Comput.}, volume = {12}, number = {1}, pages = {111--141}, year = {2021}, url = {https://doi.org/10.4018/IJAMC.2021010107}, doi = {10.4018/IJAMC.2021010107}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijamc-igi/HimanshuBK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcaet/TikkiwalSBG21, author = {Vinay Anand Tikkiwal and Sajai Vir Singh and Dinesh C. S. Bisht and Hari Om Gupta}, title = {Adaptive neuro-fuzzy approach for prediction of global solar radiation for 25 cities falling under seven K{\"{o}}ppen climatic zones}, journal = {Int. J. Comput. Aided Eng. Technol.}, volume = {15}, number = {4}, pages = {501--515}, year = {2021}, url = {https://doi.org/10.1504/IJCAET.2021.118469}, doi = {10.1504/IJCAET.2021.118469}, timestamp = {Tue, 30 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcaet/TikkiwalSBG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcbdd/SinghS21, author = {Amit Kumar Singh and Vinay Kumar Srivastava}, title = {{DSP} techniques for protein coding region identification based on background noise and nonlinear phase delay reduction from period-3 spectrum using zero phased anti-notch filter and Savitzky-Golay {(S-G)} filter}, journal = {Int. J. Comput. Biol. Drug Des.}, volume = {14}, number = {5}, pages = {343--363}, year = {2021}, url = {https://doi.org/10.1504/IJCBDD.2021.120123}, doi = {10.1504/IJCBDD.2021.120123}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcbdd/SinghS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijeh/MukhopadhyayV21, author = {Adwitiya Mukhopadhyay and R. Vinayaka}, title = {A smart-contract-based blockchain for a healthcare IoT network}, journal = {Int. J. Electron. Heal.}, volume = {11}, number = {3}, pages = {256--270}, year = {2021}, url = {https://doi.org/10.1504/IJEH.2021.117122}, doi = {10.1504/IJEH.2021.117122}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijeh/MukhopadhyayV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhpca/AlexanderABBCCC21, author = {Francis J. Alexander and James A. Ang and Jenna A. Bilbrey and Jan Balewski and Tiernan Casey and Ryan Chard and Jong Choi and Sutanay Choudhury and Bert J. Debusschere and Anthony M. DeGennaro and Nikoli Dryden and J. Austin Ellis and Ian T. Foster and Cristina Garcia{-}Cardona and Sayan Ghosh and Peter Harrington and Yunzhi Huang and Shantenu Jha and Travis Johnston and Ai Kagawa and Ramakrishnan Kannan and Neeraj Kumar and Zhengchun Liu and Naoya Maruyama and Satoshi Matsuoka and Erin McCarthy and Jamaludin Mohd{-}Yusof and Peter Nugent and Yosuke Oyama and Thomas Proffen and David Pugmire and Sivasankaran Rajamanickam and Vinay Ramakrishniah and Malachi Schram and Sudip K. Seal and Ganesh Sivaraman and Christine Sweeney and Li Tan and Rajeev Thakur and Brian Van Essen and Logan T. Ward and Paul M. Welch and Michael Wolf and Sotiris S. Xantheas and Kevin G. Yager and Shinjae Yoo and Byung{-}Jun Yoon}, title = {Co-design Center for Exascale Machine Learning Technologies (ExaLearn)}, journal = {Int. J. High Perform. Comput. Appl.}, volume = {35}, number = {6}, pages = {598--616}, year = {2021}, url = {https://doi.org/10.1177/10943420211029302}, doi = {10.1177/10943420211029302}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhpca/AlexanderABBCCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijinfomandi/BatraJTC21, author = {Jai Batra and Rupali Jain and Vinay Anand Tikkiwal and Amrita Chakraborty}, title = {A comprehensive study of spam detection in e-mails using bio-inspired optimization techniques}, journal = {Int. J. Inf. Manag. Data Insights}, volume = {1}, number = {1}, pages = {100006}, year = {2021}, url = {https://doi.org/10.1016/j.jjimei.2020.100006}, doi = {10.1016/J.JJIMEI.2020.100006}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijinfomandi/BatraJTC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijisp/BansalK21, author = {Abhishek Bansal and Vinay Kumar}, title = {Steganography Technique Inspired by Rook}, journal = {Int. J. Inf. Secur. Priv.}, volume = {15}, number = {2}, pages = {53--67}, year = {2021}, url = {https://doi.org/10.4018/IJISP.2021040103}, doi = {10.4018/IJISP.2021040103}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijisp/BansalK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijisscm/DoumbiaAYG21, author = {Musah Osumanu Doumbia and Iddrisu Awudu and Mariama Yakubu and Vinay Gonela}, title = {Pharmaceutical Supply Chain Management Practices and Organizational Performance: {A} Ghanaian Perspective}, journal = {Int. J. Inf. Syst. Supply Chain Manag.}, volume = {14}, number = {4}, pages = {20--35}, year = {2021}, url = {https://doi.org/10.4018/ijisscm.2021100102}, doi = {10.4018/IJISSCM.2021100102}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijisscm/DoumbiaAYG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijkl/KukrejaSA21, author = {Vinay Kukreja and Amitoj Singh and Sachin Ahuja}, title = {Identification, assessment and ranking agile software development critical success factors - a factor analysis approach}, journal = {Int. J. Knowl. Learn.}, volume = {14}, number = {3}, pages = {216--243}, year = {2021}, url = {https://doi.org/10.1504/IJKL.2021.116875}, doi = {10.1504/IJKL.2021.116875}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijkl/KukrejaSA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijngc/JoshiCK21, author = {Aparna Ambadas Joshi and Vinay Chavan and Parag Kaveri}, title = {Effect of Changing Distances for Extracting Image Information for Error Reduction of Mouth Features}, journal = {Int. J. Next Gener. Comput.}, volume = {12}, number = {2}, year = {2021}, url = {http://perpetualinnovation.net/ojs/index.php/ijngc/article/view/763}, timestamp = {Fri, 10 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijngc/JoshiCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijns/ThomasPPJRCCKRS21, author = {John Thomas and Thangavel Prasanth and Wei Yan Peh and Jin Jing and Yuvaraj Rajamanickam and Sydney S. Cash and Rima Chaudhari and Sagar Karia and Rahul Rathakrishnan and Vinay Saini and Nilesh Shah and Rohit Srivastava and Yee{-}Leng Tan and M. Brandon Westover and Justin Dauwels}, title = {Automated Adult Epilepsy Diagnostic Tool Based on Interictal Scalp Electroencephalogram Characteristics: {A} Six-Center Study}, journal = {Int. J. Neural Syst.}, volume = {31}, number = {5}, pages = {2050074:1--2050074:16}, year = {2021}, url = {https://doi.org/10.1142/S0129065720500744}, doi = {10.1142/S0129065720500744}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijns/ThomasPPJRCCKRS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/SinghMKN21, author = {Pravendra Singh and Pratik Mazumder and Mohammed Asad Karim and Vinay P. Namboodiri}, title = {Calibrating feature maps for deep CNNs}, journal = {Neurocomputing}, volume = {438}, pages = {235--247}, year = {2021}, url = {https://doi.org/10.1016/j.neucom.2020.12.119}, doi = {10.1016/J.NEUCOM.2020.12.119}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/SinghMKN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpcc/ChauhanBCMSR21, author = {Shaifali Chauhan and Richa Banerjee and Chinmay Chakraborty and Mohit Mittal and Atul Shiva and Vinayakumar Ravi}, title = {A self-congruence and impulse buying effect on user's shopping behaviour over social networking sites: an empirical study}, journal = {Int. J. Pervasive Comput. Commun.}, volume = {17}, number = {4}, pages = {404--425}, year = {2021}, url = {https://doi.org/10.1108/IJPCC-01-2021-0013}, doi = {10.1108/IJPCC-01-2021-0013}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpcc/ChauhanBCMSR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imst/JohriGJBKU21, author = {Shikhar Johri and Mehendi Goyal and Sahil Jain and Manoj Baranwal and Vinay Kumar and Rahul Upadhyay}, title = {A novel machine learning-based analytical framework for automatic detection of {COVID-19} using chest X-ray images}, journal = {Int. J. Imaging Syst. Technol.}, volume = {31}, number = {3}, pages = {1105--1119}, year = {2021}, url = {https://doi.org/10.1002/ima.22613}, doi = {10.1002/IMA.22613}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imst/JohriGJBKU21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ChamolaHGGGS21, author = {Vinay Chamola and Vikas Hassija and Sakshi Gupta and Adit Goyal and Mohsen Guizani and Biplab Sikdar}, title = {Disaster and Pandemic Management Using Machine Learning: {A} Survey}, journal = {{IEEE} Internet Things J.}, volume = {8}, number = {21}, pages = {16047--16071}, year = {2021}, url = {https://doi.org/10.1109/JIOT.2020.3044966}, doi = {10.1109/JIOT.2020.3044966}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/ChamolaHGGGS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ChhikaraTKCG21, author = {Prateek Chhikara and Rajkumar Tekchandani and Neeraj Kumar and Vinay Chamola and Mohsen Guizani}, title = {{DCNN-GA:} {A} Deep Neural Net Architecture for Navigation of {UAV} in Indoor Environment}, journal = {{IEEE} Internet Things J.}, volume = {8}, number = {6}, pages = {4448--4460}, year = {2021}, url = {https://doi.org/10.1109/JIOT.2020.3027095}, doi = {10.1109/JIOT.2020.3027095}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/ChhikaraTKCG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/GroverACSC21, author = {Harsh Grover and Tejasvi Alladi and Vinay Chamola and Dheerendra Singh and Kim{-}Kwang Raymond Choo}, title = {Edge Computing and Deep Learning Enabled Secure Multitier Network for Internet of Vehicles}, journal = {{IEEE} Internet Things J.}, volume = {8}, number = {19}, pages = {14787--14796}, year = {2021}, url = {https://doi.org/10.1109/JIOT.2021.3071362}, doi = {10.1109/JIOT.2021.3071362}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/GroverACSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/HassijaCGJG21, author = {Vikas Hassija and Vinay Chamola and Vatsal Gupta and Sarthak Jain and Nadra Guizani}, title = {A Survey on Supply Chain Security: Application Areas, Security Threats, and Solution Architectures}, journal = {{IEEE} Internet Things J.}, volume = {8}, number = {8}, pages = {6222--6246}, year = {2021}, url = {https://doi.org/10.1109/JIOT.2020.3025775}, doi = {10.1109/JIOT.2020.3025775}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/HassijaCGJG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/HassijaCKKG21, author = {Vikas Hassija and Vinay Chamola and Dara Nanda Gopala Krishna and Neeraj Kumar and Mohsen Guizani}, title = {A Blockchain and Edge-Computing-Based Secure Framework for Government Tender Allocation}, journal = {{IEEE} Internet Things J.}, volume = {8}, number = {4}, pages = {2409--2418}, year = {2021}, url = {https://doi.org/10.1109/JIOT.2020.3027070}, doi = {10.1109/JIOT.2020.3027070}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/HassijaCKKG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotm/NarenCBCMYG21, author = {Naren Naren and Vinay Chamola and Sainath Bitragunta and Ananthakrishna Chintanpalli and Puneet Mishra and Sujan Yenuganti and Mohsen Guizani}, title = {IoMT and DNN-Enabled Drone-Assisted Covid-19 Screening and Detection Framework for Rural Areas}, journal = {{IEEE} Internet Things Mag.}, volume = {4}, number = {2}, pages = {4--9}, year = {2021}, url = {https://doi.org/10.1109/IOTM.0011.2100053}, doi = {10.1109/IOTM.0011.2100053}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotm/NarenCBCMYG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotm/NarenTHCKG21, author = {Naren Naren and Aman Tahiliani and Vikas Hassija and Vinay Chamola and Salil S. Kanhere and Mohsen Guizani}, title = {Privacy-Preserving and Incentivized Contact Tracing for {COVID-19} Using Blockchain}, journal = {{IEEE} Internet Things Mag.}, volume = {4}, number = {3}, pages = {72--79}, year = {2021}, url = {https://doi.org/10.1109/IOTM.0211.2100002}, doi = {10.1109/IOTM.0211.2100002}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotm/NarenTHCKG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/TandonKRYY21, author = {Aditya Tandon and Pramod Kumar and Vinay Rishiwal and Mano Yadav and Preeti Yadav}, title = {A Bio-inspired Hybrid Cross-Layer Routing Protocol for Energy Preservation in WSN-Assisted IoT}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {15}, number = {4}, pages = {1317--1341}, year = {2021}, url = {https://doi.org/10.3837/tiis.2021.04.008}, doi = {10.3837/TIIS.2021.04.008}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itiis/TandonKRYY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itse/JoshiVB21, author = {Amit Joshi and Muddu Vinay and Preeti Bhaskar}, title = {Impact of coronavirus pandemic on the Indian education sector: perspectives of teachers on online teaching and assessments}, journal = {Interact. Technol. Smart Educ.}, volume = {18}, number = {2}, pages = {205--226}, year = {2021}, url = {https://doi.org/10.1108/ITSE-06-2020-0087}, doi = {10.1108/ITSE-06-2020-0087}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itse/JoshiVB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/MalhotraKA21, author = {Shivani Malhotra and Vinay Kumar and Alpana Agarwal}, title = {Bidirectional transfer learning model for sentiment analysis of natural language}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {12}, number = {11}, pages = {10267--10287}, year = {2021}, url = {https://doi.org/10.1007/s12652-020-02800-7}, doi = {10.1007/S12652-020-02800-7}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jaihc/MalhotraKA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jais/KhuntiaKRSC21, author = {Jiban Khuntia and Abhishek Kathuria and Mariana Giovanna Andrade Rojas and Terence Saldanha and Nikhil Celly}, title = {How Foreign and Domestic Firms Differ in Leveraging IT-Enabled Supply Chain Information Integration in {BOP} Markets: The Role of Supplier and Client Business Collaboration}, journal = {J. Assoc. Inf. Syst.}, volume = {22}, number = {3}, pages = {6}, year = {2021}, url = {https://aisel.aisnet.org/jais/vol22/iss3/6}, timestamp = {Wed, 09 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jais/KhuntiaKRSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/MorrisSLOCWTGHE21, author = {Alan H. Morris and Brian Stagg and Michael Lanspa and James Orme and Terry P. Clemmer and Lindell K. Weaver and Frank Thomas and Colin K. Grissom and Ellie Hirshberg and Thomas D. East and Carrie Jane Wallace and Michael P. Young and Dean F. Sittig and Antonio Pesenti and Michela Bombino and Eduardo Beck and Katherine A. Sward and Charlene R. Weir and Shobha S. Phansalkar and Gordon R. Bernard and B. Taylor Thompson and Roy Brower and Jonathon D. Truwit and Jay S. Steingrub and R. Duncan Hite and Douglas F. Willson and Jerry J. Zimmerman and Vinay M. Nadkarni and Adrienne Randolph and Martha A. Q. Curley and Christopher J. L. Newth and Jacques Lacroix and Michael S. D. Agus and Kang H. Lee and Bennett P. deBoisblanc and R. Scott Evans and Dean K. Sorenson and Anthony Wong and Michael V. Boland and David W. Grainger and Willard H. Dere and Alan S. Crandall and Julio C. Facelli and Stanley M. Huff and Peter J. Haug and Ulrike Pielmeier and Stephen Edward Rees and Dan S. Karbing and Steen Andreassen and Eddy Fan and Roberta M. Goldring and Kenneth I. Berger and Beno W. Oppenheimer and Eugene Wesley Ely and Ognjen Gajic and Brian W. Pickering and David A. Schoenfeld and Irena Tocino and Russell S. Gonnering and Peter J. Pronovost and Lucy A. Savitz and Didier Dreyfuss and Arthur S. Slutsky and James D. Crapo and Derek C. Angus and Michael R. Pinsky and Brent James and Donald M. Berwick}, title = {Enabling a learning healthcare system with automated computer protocols that produce replicable and personalized clinician actions}, journal = {J. Am. Medical Informatics Assoc.}, volume = {28}, number = {6}, pages = {1330--1344}, year = {2021}, url = {https://doi.org/10.1093/jamia/ocaa294}, doi = {10.1093/JAMIA/OCAA294}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jamia/MorrisSLOCWTGHE21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcgs/WangRT21, author = {Qi Wang and Vinayak Rao and Yee Whye Teh}, title = {An Exact Auxiliary Variable Gibbs Sampler for a Class of Diffusions}, journal = {J. Comput. Graph. Stat.}, volume = {30}, number = {2}, pages = {297--311}, year = {2021}, url = {https://doi.org/10.1080/10618600.2020.1816177}, doi = {10.1080/10618600.2020.1816177}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcgs/WangRT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/AggarwalVBBWM21, author = {Abhishek Aggarwal and Vinayak Vinayak and Saientan Bag and Chiranjib Bhattacharyya and Umesh V. Waghmare and Prabal K. Maiti}, title = {Predicting the {DNA} Conductance Using a Deep Feedforward Neural Network Model}, journal = {J. Chem. Inf. Model.}, volume = {61}, number = {1}, pages = {106--114}, year = {2021}, url = {https://doi.org/10.1021/acs.jcim.0c01072}, doi = {10.1021/ACS.JCIM.0C01072}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/AggarwalVBBWM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/PuliyandaSLKP21, author = {Anjana Puliyanda and Kaushik Sivaramakrishnan and Zukui Li and Arno de Klerk and Vinay Prasad}, title = {Structure-Preserving Joint Non-negative Tensor Factorization to Identify Reaction Pathways Using Bayesian Networks}, journal = {J. Chem. Inf. Model.}, volume = {61}, number = {12}, pages = {5747--5762}, year = {2021}, url = {https://doi.org/10.1021/acs.jcim.1c00789}, doi = {10.1021/ACS.JCIM.1C00789}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/PuliyandaSLKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcise/BohariAGK21, author = {Umema H. Bohari and Ryan Alli and Alejandra Garcia and Vinayak R. Krishnamurthy}, title = {Stroke-Hover Intent Recognition for Mid-Air Curve Drawing Using Multi-Point Skeletal Trajectories}, journal = {J. Comput. Inf. Sci. Eng.}, volume = {21}, number = {1}, year = {2021}, url = {https://doi.org/10.1115/1.4047558}, doi = {10.1115/1.4047558}, timestamp = {Tue, 05 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcise/BohariAGK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcise/MohantyAK21, author = {Ronak R. Mohanty and Riddhi R. Adhikari and Vinayak R. Krishnamurthy}, title = {Motoric and Perceptual Kinesthetic Symmetry in Bi-Manual Interactions}, journal = {J. Comput. Inf. Sci. Eng.}, volume = {21}, number = {5}, year = {2021}, url = {https://doi.org/10.1115/1.4050289}, doi = {10.1115/1.4050289}, timestamp = {Fri, 11 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcise/MohantyAK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcise/MohantyK21, author = {Ronak R. Mohanty and Vinayak R. Krishnamurthy}, title = {Kinesthetic Metaphors for Precise Spatial Manipulation: {A} Study of Object Rotation}, journal = {J. Comput. Inf. Sci. Eng.}, volume = {21}, number = {2}, year = {2021}, url = {https://doi.org/10.1115/1.4048618}, doi = {10.1115/1.4048618}, timestamp = {Tue, 05 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcise/MohantyK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsandt/RajS21, author = {Vinay Raj and Ravichandra Sadam}, title = {A Framework for Migration of {SOA} based Applications to Microservices Architecture}, journal = {J. Comput. Sci. Technol.}, volume = {21}, number = {2}, pages = {18}, year = {2021}, url = {https://doi.org/10.24215/16666038.21.e18}, doi = {10.24215/16666038.21.E18}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcsandt/RajS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsm/SelvaganapathyS21, author = {Shymalagowri Selvaganapathy and G. Sudha Sadasivam and Vinayakumar Ravi}, title = {A Review on Android Malware: Attacks, Countermeasures and Challenges Ahead}, journal = {J. Cyber Secur. Mobil.}, volume = {10}, number = {1}, pages = {177--230}, year = {2021}, url = {https://doi.org/10.13052/jcsm2245-1439.1017}, doi = {10.13052/JCSM2245-1439.1017}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsm/SelvaganapathyS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/LeiVHCLBAOD21, author = {Mingxi Lei and Bino A. Varghese and Darryl Hwang and Steven Y. Cen and Xiaomeng Lei and Desai Bhushan and Afshin Azadikhah and Assad A. Oberai and Vinay A. Duddalwar}, title = {Benchmarking Various Radiomic Toolkit Features While Applying the Image Biomarker Standardization Initiative toward Clinical Translation of Radiomic Analysis}, journal = {J. Digit. Imaging}, volume = {34}, number = {5}, pages = {1156--1170}, year = {2021}, url = {https://doi.org/10.1007/s10278-021-00506-6}, doi = {10.1007/S10278-021-00506-6}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/LeiVHCLBAOD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jkm/TripathiPGDG21, author = {Vinayak Ram Tripathi and Manish Popli and Swati Ghulyani and Shrey Desai and Ajai Gaur}, title = {Knowledge creation practices at organizational boundaries: the role of {ICT} in sickle-cell care for tribal communities}, journal = {J. Knowl. Manag.}, volume = {25}, number = {3}, pages = {595--617}, year = {2021}, url = {https://doi.org/10.1108/JKM-09-2019-0521}, doi = {10.1108/JKM-09-2019-0521}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jkm/TripathiPGDG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmihi/AroraLC21, author = {Vinay Arora and Rohan Singh Leekha and Inderveer Chana}, title = {An Efficacy of Spectral Features with Boosted Decision Tree Algorithm for Automatic Heart Sound Classification}, journal = {J. Medical Imaging Health Informatics}, volume = {11}, number = {2}, pages = {513--528}, year = {2021}, url = {https://doi.org/10.1166/jmihi.2021.3287}, doi = {10.1166/JMIHI.2021.3287}, timestamp = {Tue, 16 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmihi/AroraLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmis/SaldanhaMWM21, author = {Terence Joseph Vinay Saldanha and Babu John Mariadoss and Michelle Xiao Wu and Sunil Mithas}, title = {How Information and Communication Technology Shapes the Influence of Culture on Innovation: {A} Country-level Analysis}, journal = {J. Manag. Inf. Syst.}, volume = {38}, number = {1}, pages = {108--139}, year = {2021}, url = {https://doi.org/10.1080/07421222.2021.1870386}, doi = {10.1080/07421222.2021.1870386}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmis/SaldanhaMWM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnca/RajGCEGAN21, author = {Meghna Raj and Shashank Gupta and Vinay Chamola and Anubhav Elhence and Tanya Garg and Mohammed Atiquzzaman and Dusit Niyato}, title = {A survey on the role of Internet of Things for adopting and promoting Agriculture 4.0}, journal = {J. Netw. Comput. Appl.}, volume = {187}, pages = {103107}, year = {2021}, url = {https://doi.org/10.1016/j.jnca.2021.103107}, doi = {10.1016/J.JNCA.2021.103107}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jnca/RajGCEGAN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jois/KhareS21, author = {Priyank Khare and Vinay Kumar Srivastava}, title = {A Novel Dual Image Watermarking Technique Using Homomorphic Transform and {DWT}}, journal = {J. Intell. Syst.}, volume = {30}, number = {1}, pages = {297--311}, year = {2021}, url = {https://doi.org/10.1515/jisys-2019-0046}, doi = {10.1515/JISYS-2019-0046}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jois/KhareS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrtip/ShivaniPASJS21, author = {Shivendra Shivani and Subhash Chandra Patel and Vinay Arora and Bhisham Sharma and Alireza Jolfaei and Gautam Srivastava}, title = {Real-time cheating immune secret sharing for remote sensing images}, journal = {J. Real Time Image Process.}, volume = {18}, number = {5}, pages = {1493--1508}, year = {2021}, url = {https://doi.org/10.1007/s11554-020-01005-7}, doi = {10.1007/S11554-020-01005-7}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jrtip/ShivaniPASJS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/AlladiCN21, author = {Tejasvi Alladi and Vinay Chamola and Naren Naren}, title = {{HARCI:} {A} Two-Way Authentication Protocol for Three Entity Healthcare IoT Networks}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {39}, number = {2}, pages = {361--369}, year = {2021}, url = {https://doi.org/10.1109/JSAC.2020.3020605}, doi = {10.1109/JSAC.2020.3020605}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/AlladiCN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mansci/HathawayED21, author = {Brett Alan Hathaway and Seyed Morteza Emadi and Vinayak Deshpande}, title = {Don't Call Us, We'll Call You: An Empirical Study of Caller Behavior Under a Callback Option}, journal = {Manag. Sci.}, volume = {67}, number = {3}, pages = {1508--1526}, year = {2021}, url = {https://doi.org/10.1287/mnsc.2020.3621}, doi = {10.1287/MNSC.2020.3621}, timestamp = {Tue, 17 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mansci/HathawayED21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KostickTBCGHJLM21, author = {Kristin M. Kostick and Meredith Trejo and Arvind Bhimaraj and Andrew Civitello and Jonathan Grinstein and Douglas Horstmanshof and Ulrich P. Jorde and Matthias Loebe and Mandeep R. Mehra and Nasir Z. Sulemanjee and Vinay Thohan and Barry H. Trachtenberg and Nir Uriel and Robert J. Volk and Jerry D. Estep and Jennifer Blumenthal{-}Barby}, title = {A principal components analysis of factors associated with successful implementation of an {LVAD} decision support tool}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {21}, number = {1}, pages = {106}, year = {2021}, url = {https://doi.org/10.1186/s12911-021-01468-z}, doi = {10.1186/S12911-021-01468-Z}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KostickTBCGHJLM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mssp/KhareS21, author = {Priyank Khare and Vinay Kumar Srivastava}, title = {A reliable and secure image watermarking algorithm using homomorphic transform in {DWT} domain}, journal = {Multidimens. Syst. Signal Process.}, volume = {32}, number = {1}, pages = {131--160}, year = {2021}, url = {https://doi.org/10.1007/s11045-020-00732-1}, doi = {10.1007/S11045-020-00732-1}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mssp/KhareS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/AyyappanWBVGVCS21, author = {Vinay Ayyappan and Ricky Wat and Calvin Barber and Christina A. Vivelo and Kathryn Gauch and Pat Visanpattanasin and Garth Cook and Christos Sazeides and Anthony Kar Lun Leung}, title = {ADPriboDB 2.0: an updated database of ADP-ribosylated proteins}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D261--D265}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa941}, doi = {10.1093/NAR/GKAA941}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/AyyappanWBVGVCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/BatemanMOMAAABB21, author = {Alex Bateman and Maria Jesus Martin and Sandra E. Orchard and Michele Magrane and Rahat Agivetova and Shadab Ahmad and Emanuele Alpi and Emily H. Bowler{-}Barnett and Ramona Britto and Borisas Bursteinas and Hema Bye{-}A{-}Jee and Ray Coetzee and Austra Cukura and Alan W. Sousa da Silva and Paul Denny and Tunca Dogan and Thankgod Ebenezer and Jun Fan and Leyla Jael Garc{\'{\i}}a Castro and Penelope Garmiri and George E. Georghiou and Leonardo Gonzales and Emma Hatton{-}Ellis and Abdulrahman Hussein and Alexandr Ignatchenko and Giuseppe Insana and Rizwan Ishtiaq and Petteri Jokinen and Vishal Joshi and Dushyanth Jyothi and Antonia Lock and Rodrigo Lopez and Aurelien Luciani and Jie Luo and Yvonne Lussi and Alistair MacDougall and F{\'{a}}bio Madeira and Mahdi Mahmoudy and Manuela Menchi and Alok Mishra and Katie Moulang and Andrew Nightingale and Carla Susana Oliveira and Sangya Pundir and Guoying Qi and Shriya Raj and Daniel Rice and Milagros Rodr{\'{\i}}guez{-}L{\'{o}}pez and Rabie Saidi and Joseph Sampson and Tony Sawford and Elena Speretta and Edward Turner and Nidhi Tyagi and Preethi Vasudev and Vladimir Volynkin and Kate Warner and Xavier Watkins and Rossana Zaru and Hermann Zellner and Alan J. Bridge and Sylvain Poux and Nicole Redaschi and Lucila Aimo and Ghislaine Argoud{-}Puy and Andrea H. Auchincloss and Kristian B. Axelsen and Parit Bansal and Delphine Baratin and Marie{-}Claude Blatter and Jerven T. Bolleman and Emmanuel Boutet and Lionel Breuza and Cristina Casals{-}Casas and Edouard De Castro and Kamal Chikh Echioukh and Elisabeth Coudert and B{\'{e}}atrice A. Cuche and Mikael Doche and Dolnide Dornevil and Anne Estreicher and Maria Livia Famiglietti and Marc Feuermann and Elisabeth Gasteiger and Sebastien Gehant and Vivienne Baillie Gerritsen and Arnaud Gos and Nadine Gruaz{-}Gumowski and Ursula Hinz and Chantal Hulo and Nevila Hyka{-}Nouspikel and Florence Jungo and Guillaume Keller and Arnaud Kerhornou and Vicente Lara and Philippe Le Mercier and Damien Lieberherr and Thierry Lombardot and Xavier Martin and Patrick Masson and Anne Morgat and Teresa Batista Neto and Salvo Paesano and Ivo Pedruzzi and Sandrine Pilbout and Lucille Pourcel and Monica Pozzato and Manuela Pruess and Catherine Rivoire and Christian J. A. Sigrist and Karin Sonesson and Andre Stutz and Shyamala Sundaram and Michael Tognolli and Laure Verbregue and Cathy H. Wu and Cecilia N. Arighi and Leslie Arminski and Chuming Chen and Yongxing Chen and John S. Garavelli and Hongzhan Huang and Kati Laiho and Peter B. McGarvey and Darren A. Natale and Karen Ross and C. R. Vinayaka and Qinghua Wang and Yuqi Wang and Lai{-}Su Yeh and Jian Zhang and Patrick Ruch and Douglas Teodoro}, title = {UniProt: the universal protein knowledgebase in 2021}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D480--D489}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa1100}, doi = {10.1093/NAR/GKAA1100}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/BatemanMOMAAABB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/HoweAAAAAAABBBB21, author = {Kevin L. Howe and Premanand Achuthan and James E. Allen and Jamie Allen and Jorge {\'{A}}lvarez{-}Jarreta and M. Ridwan Amode and Irina M. Armean and Andrey G. Azov and Ruth Bennett and Jyothish Bhai and Konstantinos Billis and Sanjay Boddu and Mehrnaz Charkhchi and Carla A. Cummins and Luca Da Rin Fioretto and Claire Davidson and Kamalkumar Jayantilal Dodiya and Bilal El Houdaigui and Reham Fatima and Astrid Gall and Carlos Garc{\'{\i}}a{-}Gir{\'{o}}n and Tiago Grego and Cristina Guijarro{-}Clarke and Leanne Haggerty and Anmol Hemrom and Thibaut Hourlier and Osagie G. Izuogu and Thomas Juettemann and Vinay Kaikala and Mike P. Kay and Ilias Lavidas and Tuan Le and Diana Lemos and Jose Gonzalez Martinez and Jos{\'{e}} Carlos Marug{\'{a}}n and Thomas Maurel and Aoife C. McMahon and Shamika Mohanan and Benjamin Moore and Matthieu Muffato and Denye N. Oheh and Dimitrios Paraschas and Anne Parker and Andrew Parton and Irina Prosovetskaia and Manoj Pandian Sakthivel and Ahamed Imran Abdul Salam and Bianca M. Schmitt and Helen Schuilenburg and Dan Sheppard and Emily Steed and Michal Szpak and Marek Szuba and Kieron R. Taylor and Anja Thormann and Glen Threadgold and Brandon Walts and Andrea Winterbottom and Marc Chakiachvili and Ameya Chaubal and Nishadi De Silva and Bethany Flint and Adam Frankish and Sarah E. Hunt and Garth IIsley and Nick Langridge and Jane E. Loveland and Fergal J. Martin and Jonathan M. Mudge and Joannella Morales and Emily Perry and Magali Ruffier and John G. Tate and David Thybert and Stephen J. Trevanion and Fiona Cunningham and Andrew D. Yates and Daniel R. Zerbino and Paul Flicek}, title = {Ensembl 2021}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D884--D891}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa942}, doi = {10.1093/NAR/GKAA942}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/HoweAAAAAAABBBB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/netmahib/SinghS21, author = {Amit Kumar Singh and Vinay Kumar Srivastava}, title = {Improved filtering approach for identification of protein-coding regions in eukaryotes by background noise reduction using {S-G} filter}, journal = {Netw. Model. Anal. Health Informatics Bioinform.}, volume = {10}, number = {1}, pages = {19}, year = {2021}, url = {https://doi.org/10.1007/s13721-021-00293-8}, doi = {10.1007/S13721-021-00293-8}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/netmahib/SinghS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/JainRNMCYG21, author = {Ayush Jain and Rohit Ramaprasad and Pratik Narang and Murari Mandal and Vinay Chamola and F. Richard Yu and Mohsen Guizani}, title = {AI-Enabled Object Detection in UAVs: Challenges, Design Choices, and Research Directions}, journal = {{IEEE} Netw.}, volume = {35}, number = {4}, pages = {129--135}, year = {2021}, url = {https://doi.org/10.1109/MNET.011.2000643}, doi = {10.1109/MNET.011.2000643}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/network/JainRNMCYG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/PraveenCHA21, author = {Gorla Praveen and Vinay Chamola and Vikas Hassija and Nirwan Ansari}, title = {Blockchain Based Framework for Modeling and Evaluating 5G Spectrum Sharing}, journal = {{IEEE} Netw.}, volume = {35}, number = {2}, pages = {229--235}, year = {2021}, url = {https://doi.org/10.1109/MNET.011.2000469}, doi = {10.1109/MNET.011.2000469}, timestamp = {Wed, 19 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/network/PraveenCHA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nmnc/SinghG21, author = {Anupam K. Singh and Vinay Gautam}, title = {Subsystems and Fuzzy Relation Equations of Fuzzy Automata Based on Generalized Residuated Lattice}, journal = {New Math. Nat. Comput.}, volume = {17}, number = {3}, pages = {607--621}, year = {2021}, url = {https://doi.org/10.1142/S1793005721500307}, doi = {10.1142/S1793005721500307}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nmnc/SinghG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/SadilekLNKSRIMK21, author = {Adam Sadilek and Luyang Liu and Dung Nguyen and Methun Kamruzzaman and Stylianos Serghiou and Benjamin Rader and Alex Ingerman and Stefan Mellem and Peter Kairouz and Elaine O. Nsoesie and Jamie Macfarlane and Anil Vullikanti and Madhav V. Marathe and Paul Eastham and John S. Brownstein and Blaise Ag{\"{u}}era y Arcas and Michael D. Howell and John Hernandez}, title = {Privacy-first health research with federated learning}, journal = {npj Digit. Medicine}, volume = {4}, year = {2021}, url = {https://doi.org/10.1038/s41746-021-00489-2}, doi = {10.1038/S41746-021-00489-2}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/SadilekLNKSRIMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pomacs/DasARRB21, author = {Sourav Das and Nitin Awathare and Ling Ren and Vinay J. Ribeiro and Umesh Bellur}, title = {Tuxedo: Maximizing Smart Contract Computation in PoW Blockchains}, journal = {Proc. {ACM} Meas. Anal. Comput. Syst.}, volume = {5}, number = {3}, pages = {41:1--41:30}, year = {2021}, url = {https://doi.org/10.1145/3491053}, doi = {10.1145/3491053}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pomacs/DasARRB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/PatroAN21, author = {Badri N. Patro and Anupriy and Vinay P. Namboodiri}, title = {Probabilistic framework for solving visual dialog}, journal = {Pattern Recognit.}, volume = {110}, pages = {107586}, year = {2021}, url = {https://doi.org/10.1016/j.patcog.2020.107586}, doi = {10.1016/J.PATCOG.2020.107586}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pr/PatroAN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qre/KumarMSSSHS21, author = {Vinay Kumar and Ashish Kumar Maurya and Karam Veer Singh and Lalit Kumar Singh and Pooja Singh and Aditya Narayan Hati and Vibhav Prakash Singh}, title = {Safety analysis of safety-critical systems for their applicability on {NPP} systems: {A} state-of-the-art review}, journal = {Qual. Reliab. Eng. Int.}, volume = {37}, number = {5}, pages = {1796--1831}, year = {2021}, url = {https://doi.org/10.1002/qre.2828}, doi = {10.1002/QRE.2828}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/qre/KumarMSSSHS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/GautamOP21, author = {Deepak Gautam and Bertram Ostendorf and Vinay Pagay}, title = {Estimation of Grapevine Crop Coefficient Using a Multispectral Camera on an Unmanned Aerial Vehicle}, journal = {Remote. Sens.}, volume = {13}, number = {13}, pages = {2639}, year = {2021}, url = {https://doi.org/10.3390/rs13132639}, doi = {10.3390/RS13132639}, timestamp = {Fri, 13 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/GautamOP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ria/BhardwajKS21, author = {Vivek Bhardwaj and Vinay Kukreja and Amitoj Singh}, title = {Usage of Prosody Modification and Acoustic Adaptation for Robust Automatic Speech Recognition {(ASR)} System}, journal = {Rev. d'Intelligence Artif.}, volume = {35}, number = {3}, pages = {235--242}, year = {2021}, url = {https://doi.org/10.18280/ria.350307}, doi = {10.18280/RIA.350307}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ria/BhardwajKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SahaBKB21, author = {Anubhuti Saha and Ashutosh Bajpai and Vinay Krishna and Shantanu Bhattacharya}, title = {Evolving Paradigm of Prothrombin Time Diagnostics with Its Growing Clinical Relevance towards Cardio-Compromised and {COVID-19} Affected Population}, journal = {Sensors}, volume = {21}, number = {8}, pages = {2636}, year = {2021}, url = {https://doi.org/10.3390/s21082636}, doi = {10.3390/S21082636}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SahaBKB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/TrivediGAAVAGK21, author = {Naresh Kumar Trivedi and Vinay Gautam and Abhineet Anand and Hani Moaiteq Aljahdali and Santos Gracia Villar and Divya Anand and Nitin Goyal and Seifedine Nimer Kadry}, title = {Early Detection and Classification of Tomato Leaf Disease Using High-Performance Deep Neural Network}, journal = {Sensors}, volume = {21}, number = {23}, pages = {7987}, year = {2021}, url = {https://doi.org/10.3390/s21237987}, doi = {10.3390/S21237987}, timestamp = {Sat, 14 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/TrivediGAAVAGK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spe/HassijaSC21, author = {Vikas Hassija and Vikas Saxena and Vinay Chamola}, title = {A mobile data offloading framework based on a combination of blockchain and virtual voting}, journal = {Softw. Pract. Exp.}, volume = {51}, number = {12}, pages = {2428--2445}, year = {2021}, url = {https://doi.org/10.1002/spe.2786}, doi = {10.1002/SPE.2786}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/spe/HassijaSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/ChandrasekaranJ21, author = {Sanjeev Tannirkulam Chandrasekaran and Akshay Jayaraj and Vinay Elkoori Ghantala Karnam and Imon Banerjee and Arindam Sanyal}, title = {Fully Integrated Analog Machine Learning Classifier Using Custom Activation Function for Low Resolution Image Classification}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {68}, number = {3}, pages = {1023--1033}, year = {2021}, url = {https://doi.org/10.1109/TCSI.2020.3047331}, doi = {10.1109/TCSI.2020.3047331}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasI/ChandrasekaranJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/Vaishampayan21, author = {Vinay A. Vaishampayan}, title = {Precoder Design for Communication-Efficient Distributed {MIMO} Receivers With Controlled Peak-Average Power Ratio}, journal = {{IEEE} Trans. Commun.}, volume = {69}, number = {7}, pages = {4704--4716}, year = {2021}, url = {https://doi.org/10.1109/TCOMM.2021.3070364}, doi = {10.1109/TCOMM.2021.3070364}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/Vaishampayan21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcss/GuptaKSK21, author = {Prasoon Gupta and Sanjay Kumar and Rajiv Ranjan Suman and Vinay Kumar}, title = {Sentiment Analysis of Lockdown in India During {COVID-19:} {A} Case Study on Twitter}, journal = {{IEEE} Trans. Comput. Soc. Syst.}, volume = {8}, number = {4}, pages = {992--1002}, year = {2021}, url = {https://doi.org/10.1109/TCSS.2020.3042446}, doi = {10.1109/TCSS.2020.3042446}, timestamp = {Sat, 20 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcss/GuptaKSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgcn/RahmanVPAVK21, author = {Haseen Rahman and Ankith Vinayachandran and Sibi Raj B. Pillai and Kumar Appaiah and Rahul Vaze and Navin Kashyap}, title = {Deadline Constrained Packet Scheduling in the Presence of an Energy Harvesting Jammer}, journal = {{IEEE} Trans. Green Commun. Netw.}, volume = {5}, number = {1}, pages = {278--290}, year = {2021}, url = {https://doi.org/10.1109/TGCN.2020.3017531}, doi = {10.1109/TGCN.2020.3017531}, timestamp = {Mon, 12 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgcn/RahmanVPAVK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/RavindraNL21, author = {Vinay Ravindra and Sreeja Nag and Alan S. Li}, title = {Ensemble-Guided Tropical Cyclone Track Forecasting for Optimal Satellite Remote Sensing}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {59}, number = {5}, pages = {3607--3622}, year = {2021}, url = {https://doi.org/10.1109/TGRS.2020.3010821}, doi = {10.1109/TGRS.2020.3010821}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/RavindraNL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/thms/Bustamante0SGJ21, author = {Samuel Bustamante and Jan Peters and Bernhard Sch{\"{o}}lkopf and Moritz Grosse{-}Wentrup and Vinay Jayaram}, title = {ArmSym: {A} Virtual Human-Robot Interaction Laboratory for Assistive Robotics}, journal = {{IEEE} Trans. Hum. Mach. Syst.}, volume = {51}, number = {6}, pages = {568--577}, year = {2021}, url = {https://doi.org/10.1109/THMS.2021.3106865}, doi = {10.1109/THMS.2021.3106865}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/thms/Bustamante0SGJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/PetkarEK21, author = {Sagar Gajanan Petkar and Kusuma Eshwar and Thippiripati Vinay Kumar}, title = {A Modified Model Predictive Current Control of Permanent Magnet Synchronous Motor Drive}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {68}, number = {2}, pages = {1025--1034}, year = {2021}, url = {https://doi.org/10.1109/TIE.2020.2970671}, doi = {10.1109/TIE.2020.2970671}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/PetkarEK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/TiwariUJ21, author = {Vinay K. Tiwari and Amod C. Umarikar and Trapti Jain}, title = {Measurement of Instantaneous Power Quality Parameters Using {UWPT} and Hilbert Transform and Its {FPGA} Implementation}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {70}, pages = {1--13}, year = {2021}, url = {https://doi.org/10.1109/TIM.2020.3021769}, doi = {10.1109/TIM.2020.3021769}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/TiwariUJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/BollaufVC21, author = {Maiara F. Bollauf and Vinay A. Vaishampayan and Sueli I. R. Costa}, title = {On Communication for Distributed Babai Point Computation}, journal = {{IEEE} Trans. Inf. Theory}, volume = {67}, number = {10}, pages = {6408--6424}, year = {2021}, url = {https://doi.org/10.1109/TIT.2021.3097719}, doi = {10.1109/TIT.2021.3097719}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tit/BollaufVC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/ThomasPKHNVKR21, author = {Edwin Thomas and Pawan S. Jogi and Shushant Kumar and Anmol Horo and S. Niyas and S. Vinayagamani and Chandrasekharan Kesavadas and Jeny Rajan}, title = {Multi-Res-Attention UNet: {A} {CNN} Model for the Segmentation of Focal Cortical Dysplasia Lesions from Magnetic Resonance Images}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {25}, number = {5}, pages = {1724--1734}, year = {2021}, url = {https://doi.org/10.1109/JBHI.2020.3024188}, doi = {10.1109/JBHI.2020.3024188}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/ThomasPKHNVKR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/MehraMNC21, author = {Aryan Mehra and Murari Mandal and Pratik Narang and Vinay Chamola}, title = {ReViewNet: {A} Fast and Resource Optimized Network for Enabling Safe Autonomous Driving in Hazy Weather Conditions}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {22}, number = {7}, pages = {4256--4266}, year = {2021}, url = {https://doi.org/10.1109/TITS.2020.3013099}, doi = {10.1109/TITS.2020.3013099}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/MehraMNC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/KumarSM21, author = {Vivek Kumar and Dilip Kumar Sharma and Vinay Kumar Mishra}, title = {Mille Cheval: a GPU-based in-memory high-performance computing framework for accelerated processing of big-data streams}, journal = {J. Supercomput.}, volume = {77}, number = {7}, pages = {6936--6960}, year = {2021}, url = {https://doi.org/10.1007/s11227-020-03508-3}, doi = {10.1007/S11227-020-03508-3}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/KumarSM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/KhoslaSA21, author = {Megha Khosla and Vinay Setty and Avishek Anand}, title = {A Comparative Study for Unsupervised Network Representation Learning}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {33}, number = {5}, pages = {1807--1818}, year = {2021}, url = {https://doi.org/10.1109/TKDE.2019.2951398}, doi = {10.1109/TKDE.2019.2951398}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/KhoslaSA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/AbrolSP21, author = {Vinayak Abrol and Pulkit Sharma and Arijit Patra}, title = {Improving Generative Modelling in VAEs Using Multimodal Prior}, journal = {{IEEE} Trans. Multim.}, volume = {23}, pages = {2153--2161}, year = {2021}, url = {https://doi.org/10.1109/TMM.2020.3008053}, doi = {10.1109/TMM.2020.3008053}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmm/AbrolSP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/toit/GargCMNCG21, author = {Prateek Garg and Anirudh Srinivasan Chakravarthy and Murari Mandal and Pratik Narang and Vinay Chamola and Mohsen Guizani}, title = {ISDNet: AI-enabled Instance Segmentation of Aerial Scenes for Smart Cities}, journal = {{ACM} Trans. Internet Techn.}, volume = {21}, number = {3}, pages = {66:1--66:18}, year = {2021}, url = {https://doi.org/10.1145/3418205}, doi = {10.1145/3418205}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/toit/GargCMNCG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tos/YangYR21, author = {Juncheng Yang and Yao Yue and K. V. Rashmi}, title = {A Large-scale Analysis of Hundreds of In-memory Key-value Cache Clusters at Twitter}, journal = {{ACM} Trans. Storage}, volume = {17}, number = {3}, pages = {17:1--17:35}, year = {2021}, url = {https://doi.org/10.1145/3468521}, doi = {10.1145/3468521}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tos/YangYR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsipn/EliasGMW21, author = {Vitor Rosa Meireles Elias and Vinay Chakravarthi Gogineni and Wallace A. Martins and Stefan Werner}, title = {Adaptive Graph Filters in Reproducing Kernel Hilbert Spaces: Design and Performance Analysis}, journal = {{IEEE} Trans. Signal Inf. Process. over Networks}, volume = {7}, pages = {62--74}, year = {2021}, url = {https://doi.org/10.1109/TSIPN.2020.3046217}, doi = {10.1109/TSIPN.2020.3046217}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsipn/EliasGMW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/AlladiGACY21, author = {Tejasvi Alladi and Bhavya Gera and Ayush Agrawal and Vinay Chamola and Fei Richard Yu}, title = {DeepADV: {A} Deep Neural Network Framework for Anomaly Detection in VANETs}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {70}, number = {11}, pages = {12013--12023}, year = {2021}, url = {https://doi.org/10.1109/TVT.2021.3113807}, doi = {10.1109/TVT.2021.3113807}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/AlladiGACY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vcomm/RanaweeraSRSD21, author = {Malith Ranaweera and Aruna Seneviratne and David Rey and Meead Saberi and Vinayak V. Dixit}, title = {Detection of anomalous vehicles using physics of traffic}, journal = {Veh. Commun.}, volume = {27}, pages = {100304}, year = {2021}, url = {https://doi.org/10.1016/j.vehcom.2020.100304}, doi = {10.1016/J.VEHCOM.2020.100304}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vcomm/RanaweeraSRSD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/AlladiKCYG21, author = {Tejasvi Alladi and Varun Kohli and Vinay Chamola and F. Richard Yu and Mohsen Guizani}, title = {Artificial Intelligence (AI)-Empowered Intrusion Detection Architecture for the Internet of Vehicles}, journal = {{IEEE} Wirel. Commun.}, volume = {28}, number = {3}, pages = {144--149}, year = {2021}, url = {https://doi.org/10.1109/MWC.001.2000428}, doi = {10.1109/MWC.001.2000428}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wc/AlladiKCYG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/BhatiaKSRJK21, author = {Vinay Bhatia and Sukhdeep Kaur and Kuldeep Sharma and Punam Rattan and Vishal Jagota and Mohammed Abdella Kemal}, title = {Design and Simulation of Capacitive {MEMS} Switch for Ka Band Application}, journal = {Wirel. Commun. Mob. Comput.}, volume = {2021}, pages = {2021513:1--2021513:8}, year = {2021}, url = {https://doi.org/10.1155/2021/2021513}, doi = {10.1155/2021/2021513}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/BhatiaKSRJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/BhardwajK21a, author = {Vinay Bhardwaj and Navdeep Kaur}, title = {{SEEDRP:} a Secure Energy Efficient Dynamic Routing Protocol in Fanets}, journal = {Wirel. Pers. Commun.}, volume = {120}, number = {2}, pages = {1251--1277}, year = {2021}, url = {https://doi.org/10.1007/s11277-021-08513-0}, doi = {10.1007/S11277-021-08513-0}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/BhardwajK21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/JingPGW21, author = {Bowen Jing and Vinay Uday Prabhu and Angela Gu and John Whaley}, title = {Rotation-Invariant Gait Identification with Quaternion Convolutional Neural Networks (Student Abstract)}, booktitle = {Thirty-Fifth {AAAI} Conference on Artificial Intelligence, {AAAI} 2021, Thirty-Third Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2021, The Eleventh Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2021, Virtual Event, February 2-9, 2021}, pages = {15805--15806}, publisher = {{AAAI} Press}, year = {2021}, url = {https://doi.org/10.1609/aaai.v35i18.17899}, doi = {10.1609/AAAI.V35I18.17899}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/JingPGW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/KumarSSKJVBV21, author = {Prashant Kumar and Sabyasachi Sahoo and Vanshil Shah and Vineetha Kondameedi and Abhinav Jain and Akshaj Verma and Chiranjib Bhattacharyya and Vinay Vishwanath}, title = {Dynamic to Static Lidar Scan Reconstruction Using Adversarially Trained Auto Encoder}, booktitle = {Thirty-Fifth {AAAI} Conference on Artificial Intelligence, {AAAI} 2021, Thirty-Third Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2021, The Eleventh Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2021, Virtual Event, February 2-9, 2021}, pages = {1836--1844}, publisher = {{AAAI} Press}, year = {2021}, url = {https://doi.org/10.1609/aaai.v35i3.16278}, doi = {10.1609/AAAI.V35I3.16278}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/KumarSSKJVBV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/KhanANJ21, author = {Zeeshan Khan and Kartheek Akella and Vinay P. Namboodiri and C. V. Jawahar}, editor = {Chengqing Zong and Fei Xia and Wenjie Li and Roberto Navigli}, title = {More Parameters? No Thanks!}, booktitle = {Findings of the Association for Computational Linguistics: {ACL/IJCNLP} 2021, Online Event, August 1-6, 2021}, series = {Findings of {ACL}}, volume = {{ACL/IJCNLP} 2021}, pages = {96--102}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.findings-acl.9}, doi = {10.18653/V1/2021.FINDINGS-ACL.9}, timestamp = {Wed, 21 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/KhanANJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aied/WatersCMB21, author = {Andrew E. Waters and Vinay K. Chaudhri and Debshila Basu Mallick and Richard G. Baraniuk}, editor = {Sergey A. Sosnovsky and Peter Brusilovsky and Richard G. Baraniuk and Andrew S. Lan}, title = {A Relationship Selection Task (short paper)}, booktitle = {Proceedings of the Third International Workshop on Inteligent Textbooks 2021 Co-located with 22nd International Conference on Artificial Intelligence in Education {(AIED} 2021), Online, June 15, 2021}, series = {{CEUR} Workshop Proceedings}, volume = {2895}, pages = {88--92}, publisher = {CEUR-WS.org}, year = {2021}, url = {https://ceur-ws.org/Vol-2895/paper17.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:42 +0100}, biburl = {https://dblp.org/rec/conf/aied/WatersCMB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aii2/VinayBA21, author = {N. A. Vinay and S. H. Bharathi and V. N. Manjunath Aradhya}, title = {Recognition of Dysfluency in Speech: {A} Bidirectional Long-Short Term Memory Based Approach}, booktitle = {{AII}}, pages = {232--244}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-82269-9\_18}, doi = {10.1007/978-3-030-82269-9\_18}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aii2/VinayBA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/air/RaoKP21, author = {Aishwarya Vinayak Rao and Sujay Dilip Kadam and Harish J. Palanthandalam{-}Madapusi}, title = {A Perspective on Prioritized Tracking Control for Robotic Systems with More Outputs than Inputs}, booktitle = {{AIR} 2021: Advances in Robotics - 5th International Conference of The Robotics Society, Kanpur, India, 30 June 2021 - 4 July 2021}, pages = {2:1--2:6}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3478586.3478596}, doi = {10.1145/3478586.3478596}, timestamp = {Sat, 01 Jan 2022 18:02:37 +0100}, biburl = {https://dblp.org/rec/conf/air/RaoKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aistats/GuptaBBD21, author = {Vinayak Gupta and Srikanta Bedathur and Sourangshu Bhattacharya and Abir De}, editor = {Arindam Banerjee and Kenji Fukumizu}, title = {Learning Temporal Point Processes with Intermittent Observations}, booktitle = {The 24th International Conference on Artificial Intelligence and Statistics, {AISTATS} 2021, April 13-15, 2021, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {130}, pages = {3790--3798}, publisher = {{PMLR}}, year = {2021}, url = {http://proceedings.mlr.press/v130/gupta21a.html}, timestamp = {Wed, 14 Apr 2021 16:51:37 +0200}, biburl = {https://dblp.org/rec/conf/aistats/GuptaBBD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aistats/MehtaLVC21, author = {Nikhil Mehta and Kevin J. Liang and Vinay Kumar Verma and Lawrence Carin}, editor = {Arindam Banerjee and Kenji Fukumizu}, title = {Continual Learning using a Bayesian Nonparametric Dictionary of Weight Factors}, booktitle = {The 24th International Conference on Artificial Intelligence and Statistics, {AISTATS} 2021, April 13-15, 2021, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {130}, pages = {100--108}, publisher = {{PMLR}}, year = {2021}, url = {http://proceedings.mlr.press/v130/mehta21a.html}, timestamp = {Tue, 14 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aistats/MehtaLVC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/akbc/ChaudhriBAMWB21, author = {Vinay K. Chaudhri and Matthew Boggess and Han Lin Aung and Debshila Basu Mallick and Andrew C. Waters and Richard G. Baraniuk}, editor = {Danqi Chen and Jonathan Berant and Andrew McCallum and Sameer Singh}, title = {A Case Study in Bootstrapping Ontology Graphs from Textbooks}, booktitle = {3rd Conference on Automated Knowledge Base Construction, {AKBC} 2021, Virtual, October 4-8, 2021}, year = {2021}, url = {https://doi.org/10.24432/C58C7T}, doi = {10.24432/C58C7T}, timestamp = {Thu, 14 Dec 2023 18:03:42 +0100}, biburl = {https://dblp.org/rec/conf/akbc/ChaudhriBAMWB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/KamidiHGH21, author = {Vinay R. Kamidi and Jonathan C. Horn and Robert D. Gregg and Kaveh Akbari Hamed}, title = {Distributed Controllers for Human-Robot Locomotion: {A} Scalable Approach Based on Decomposition and Hybrid Zero Dynamics}, booktitle = {2021 American Control Conference, {ACC} 2021, New Orleans, LA, USA, May 25-28, 2021}, pages = {2049--2054}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/ACC50511.2021.9483320}, doi = {10.23919/ACC50511.2021.9483320}, timestamp = {Fri, 30 Jul 2021 11:11:12 +0200}, biburl = {https://dblp.org/rec/conf/amcc/KamidiHGH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ant/FrtunikSGVWD21, author = {Darko Frtunik and Amolika Sinha and Hanna Grzybowska and Navreet Virdi and S. Travis Waller and Vinayak V. Dixit}, editor = {Elhadi M. Shakshuki and Ansar{-}Ul{-}Haque Yasar}, title = {Sensitivity analysis on a dynamic coupling model for {V2V} communication distance control}, booktitle = {The 12th International Conference on Ambient Systems, Networks and Technologies {(ANT} 2021) / The 4th International Conference on Emerging Data and Industry 4.0 {(EDI40} 2021) / Affiliated Workshops, March 23-26, 2021, Warsaw, Poland}, series = {Procedia Computer Science}, volume = {184}, pages = {372--379}, publisher = {Elsevier}, year = {2021}, url = {https://doi.org/10.1016/j.procs.2021.03.047}, doi = {10.1016/J.PROCS.2021.03.047}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ant/FrtunikSGVWD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/ZhangASTLAMMCTW21, author = {Jeff Jun Zhang and Nicolas Bohm Agostini and Shihao Song and Cheng Tan and Ankur Limaye and Vinay Amatya and Joseph B. Manzano and Marco Minutoli and Vito Giovanni Castellana and Antonino Tumeo and Gu{-}Yeon Wei and David Brooks}, title = {Towards Automatic and Agile {AI/ML} Accelerator Design with End-to-End Synthesis}, booktitle = {32nd {IEEE} International Conference on Application-specific Systems, Architectures and Processors, {ASAP} 2021, Virtual Conference, USA, July 7-9, 2021}, pages = {218--225}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ASAP52443.2021.00040}, doi = {10.1109/ASAP52443.2021.00040}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asap/ZhangASTLAMMCTW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/TalekarSVACV0AS21, author = {Alok Talekar and Sharad Shriram and Nidhin K. Vaidhiyan and Gaurav Aggarwal and Jiangzhuo Chen and Srinivasan Venkatramanan and Lijing Wang and Aniruddha Adiga and Adam Sadilek and Ashish Tendulkar and Madhav V. Marathe and Rajesh Sundaresan and Milind Tambe}, editor = {Frank Dignum and Alessio Lomuscio and Ulle Endriss and Ann Now{\'{e}}}, title = {Cohorting to Isolate Asymptomatic Spreaders: An Agent-Based Simulation Study on the Mumbai Suburban Railway}, booktitle = {{AAMAS} '21: 20th International Conference on Autonomous Agents and Multiagent Systems, Virtual Event, United Kingdom, May 3-7, 2021}, pages = {1680--1682}, publisher = {{ACM}}, year = {2021}, url = {https://www.ifaamas.org/Proceedings/aamas2021/pdfs/p1680.pdf}, doi = {10.5555/3463952.3464199}, timestamp = {Wed, 20 Jul 2022 17:03:47 +0200}, biburl = {https://dblp.org/rec/conf/atal/TalekarSVACV0AS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/BhattacharyaMCH21, author = {Parantapa Bhattacharya and Dustin Machi and Jiangzhuo Chen and Stefan Hoops and Bryan L. Lewis and Henning S. Mortveit and Srinivasan Venkatramanan and Mandy L. Wilson and Achla Marathe and Przemyslaw J. Porebski and Brian Klahn and Joseph Outten and Anil Vullikanti and Dawen Xie and Abhijin Adiga and Shawn Brown and Christopher L. Barrett and Madhav V. Marathe}, editor = {Yixin Chen and Heiko Ludwig and Yicheng Tu and Usama M. Fayyad and Xingquan Zhu and Xiaohua Hu and Suren Byna and Xiong Liu and Jianping Zhang and Shirui Pan and Vagelis Papalexakis and Jianwu Wang and Alfredo Cuzzocrea and Carlos Ordonez}, title = {AI-Driven Agent-Based Models to Study the Role of Vaccine Acceptance in Controlling {COVID-19} Spread in the {US}}, booktitle = {2021 {IEEE} International Conference on Big Data (Big Data), Orlando, FL, USA, December 15-18, 2021}, pages = {1566--1574}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/BigData52589.2021.9671811}, doi = {10.1109/BIGDATA52589.2021.9671811}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/BhattacharyaMCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/RezayiLVRDKL21, author = {Saed Rezayi and Nedim Lipka and Vishwa Vinay and Ryan A. Rossi and Franck Dernoncourt and Tracy Holloway King and Sheng Li}, editor = {Yixin Chen and Heiko Ludwig and Yicheng Tu and Usama M. Fayyad and Xingquan Zhu and Xiaohua Hu and Suren Byna and Xiong Liu and Jianping Zhang and Shirui Pan and Vagelis Papalexakis and Jianwu Wang and Alfredo Cuzzocrea and Carlos Ordonez}, title = {A Framework for Knowledge-Derived Query Suggestions}, booktitle = {2021 {IEEE} International Conference on Big Data (Big Data), Orlando, FL, USA, December 15-18, 2021}, pages = {510--518}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/BigData52589.2021.9671344}, doi = {10.1109/BIGDATA52589.2021.9671344}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/RezayiLVRDKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/blockchain2/SeshadriRK21, author = {Ovia Seshadri and Vinay J. Ribeiro and Aditya Kumar}, editor = {Yang Xiang and Ziyuan Wang and Honggang Wang and Valtteri Niemi}, title = {Securely Boosting Chain Growth and Confirmation Speed in PoW Blockchains}, booktitle = {2021 {IEEE} International Conference on Blockchain, Blockchain 2021, Melbourne, Australia, December 6-8, 2021}, pages = {140--149}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/Blockchain53845.2021.00028}, doi = {10.1109/BLOCKCHAIN53845.2021.00028}, timestamp = {Mon, 31 Jan 2022 14:35:12 +0100}, biburl = {https://dblp.org/rec/conf/blockchain2/SeshadriRK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/SenAMNJ21, author = {Bipasha Sen and Aditya Agarwal and Rudrabha Mukhopadhyay and Vinay P. Namboodiri and C. V. Jawahar}, title = {Personalized One-Shot Lipreading for an {ALS} Patient}, booktitle = {32nd British Machine Vision Conference 2021, {BMVC} 2021, Online, November 22-25, 2021}, pages = {428}, publisher = {{BMVA} Press}, year = {2021}, url = {https://www.bmvc2021-virtualconference.com/assets/papers/1468.pdf}, timestamp = {Wed, 21 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmvc/SenAMNJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/ShrivastavaMD21, author = {Vinay Kumar Shrivastava and Avijit Manna and Kalyan Dhulipudi}, title = {Energy Efficient and Performance Optimized Measurements for 5G and Beyond}, booktitle = {18th {IEEE} Annual Consumer Communications {\&} Networking Conference, {CCNC} 2021, Las Vegas, NV, USA, January 9-12, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CCNC49032.2021.9369475}, doi = {10.1109/CCNC49032.2021.9369475}, timestamp = {Fri, 19 Mar 2021 12:19:11 +0100}, biburl = {https://dblp.org/rec/conf/ccnc/ShrivastavaMD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LiVWSC21, author = {Jie Li and Vinoba Vinayagamoorthy and Julie R. Williamson and David A. Shamma and Pablo C{\'{e}}sar}, editor = {Yoshifumi Kitamura and Aaron Quigley and Katherine Isbister and Takeo Igarashi}, title = {Social {VR:} {A} New Medium for Remote Communication and Collaboration}, booktitle = {{CHI} '21: {CHI} Conference on Human Factors in Computing Systems, Virtual Event / Yokohama Japan, May 8-13, 2021, Extended Abstracts}, pages = {81:1--81:6}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3411763.3441346}, doi = {10.1145/3411763.3441346}, timestamp = {Sun, 18 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/LiVWSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/WilliamsonLVSC21, author = {Julie R. Williamson and Jie Li and Vinoba Vinayagamoorthy and David A. Shamma and Pablo C{\'{e}}sar}, editor = {Yoshifumi Kitamura and Aaron Quigley and Katherine Isbister and Takeo Igarashi and Pernille Bj{\o}rn and Steven Mark Drucker}, title = {Proxemics and Social Interactions in an Instrumented Virtual Reality Workshop}, booktitle = {{CHI} '21: {CHI} Conference on Human Factors in Computing Systems, Virtual Event / Yokohama, Japan, May 8-13, 2021}, pages = {253:1--253:13}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3411764.3445729}, doi = {10.1145/3411764.3445729}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/WilliamsonLVSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/complexnetworks/AdigaPSWDLBAMBM21, author = {Abhijin Adiga and Nicholas Palmer and Sanchit Sinha and Penina Waghalter and Aniruddha Dave and Daniel Perez Lazarte and Thierry Br{\'{e}}vault and Andrea Apolloni and Henning S. Mortveit and Young Yun Baek and Madhav V. Marathe}, editor = {Rosa Mar{\'{\i}}a Benito and Chantal Cherifi and Hocine Cherifi and Esteban Moro and Luis M. Rocha and Marta Sales{-}Pardo}, title = {Realistic Commodity Flow Networks to Assess Vulnerability of Food Systems}, booktitle = {Complex Networks {\&} Their Applications {X} - Volume 1, Proceedings of the Tenth International Conference on Complex Networks and Their Applications {COMPLEX} {NETWORKS} 2021, Madrid, Spain, November 30 - December 2, 2021}, series = {Studies in Computational Intelligence}, volume = {1015}, pages = {168--179}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-93409-5\_15}, doi = {10.1007/978-3-030-93409-5\_15}, timestamp = {Mon, 26 Jun 2023 20:46:27 +0200}, biburl = {https://dblp.org/rec/conf/complexnetworks/AdigaPSWDLBAMBM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/comsnets/VatsaMK21, author = {Shivam Vinayak Vatsa and Avinash Mohan and Anurag Kumar}, title = {Implementing {QZMAC} (a Decentralized Delay Optimal {MAC)} over 6TiSCH under the Contiki {OS} in an {IEEE} 802.15.4 Network}, booktitle = {13th International Conference on COMmunication Systems {\&} NETworkS, {COMSNETS} 2021, Bangalore, India, January 5-9, 2021}, pages = {145--147}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/COMSNETS51098.2021.9352846}, doi = {10.1109/COMSNETS51098.2021.9352846}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/comsnets/VatsaMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dafx/AgarwalCTM21, author = {Vinayak Agarwal and Maddie Cusimano and James Traer and Josh H. McDermott}, title = {Object-Based Synthesis of Scraping and Rolling Sounds Based on Non-Linear Physical Constraints}, booktitle = {24th International Conference on Digital Audio Effects, DAFx 2021, Vienna, Austria, September 8-10, 2021}, pages = {136--143}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DAFx51585.2021.9768225}, doi = {10.23919/DAFX51585.2021.9768225}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dafx/AgarwalCTM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/data2/NagarajaNSKN21, author = {Arun Nagaraja and Soumya K. N and Anubhav Sinha and Jain Vinay Rajendra Kumar and Prajwal Nayak}, editor = {Juan Alfonso Lara Torralbo and Shadi A. Aljawarneh and Vangipuram Radhakrishna and Arun N.}, title = {Fake News Detection Using Machine Learning Methods}, booktitle = {DATA'21: International Conference on Data Science, E-learning and Information Systems 2021, Petra, Jordan, 5-7 April, 2021}, pages = {185--192}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3460620.3460753}, doi = {10.1145/3460620.3460753}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/data2/NagarajaNSKN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BaksiBBCK21, author = {Anubhab Baksi and Shivam Bhasin and Jakub Breier and Anupam Chattopadhyay and Vinay B. Y. Kumar}, title = {Feeding Three Birds With One Scone: {A} Generic Duplication Based Countermeasure To Fault Attacks}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {561--564}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9474072}, doi = {10.23919/DATE51398.2021.9474072}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BaksiBBCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/AggarwalGSNJ21, author = {Vinay Aggarwal and Aparna Garimella and Balaji Vasan Srinivasan and Anandhavelu Natarajan and Rajiv Jain}, editor = {Marie{-}Francine Moens and Xuanjing Huang and Lucia Specia and Scott Wen{-}tau Yih}, title = {ClauseRec: {A} Clause Recommendation Framework for AI-aided Contract Authoring}, booktitle = {Proceedings of the 2021 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2021, Virtual Event / Punta Cana, Dominican Republic, 7-11 November, 2021}, pages = {8770--8776}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.emnlp-main.691}, doi = {10.18653/V1/2021.EMNLP-MAIN.691}, timestamp = {Fri, 16 Feb 2024 08:27:36 +0100}, biburl = {https://dblp.org/rec/conf/emnlp/AggarwalGSNJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurosys/CaoMTASS21, author = {Lianjie Cao and Anu Mercian and Diman Zad Tootaghaj and Faraz Ahmed and Puneet Sharma and Vinay Saxena}, editor = {Aaron Yi Ding and Richard Mortier}, title = {eCaaS: {A} Management Framework of Edge Container as a Service for Business Workload}, booktitle = {EdgeSys@EuroSys 2021: 4th International Workshop on Edge Systems, Analytics and Networking, Online Event, United Kingdom, April 26, 2021}, pages = {73--78}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3434770.3459741}, doi = {10.1145/3434770.3459741}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eurosys/CaoMTASS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusipco/ChatterjeeSDMPR21, author = {Soumick Chatterjee and Alessandro Sciarra and Max D{\"{u}}nnwald and Raghava Vinaykanth Mushunuri and Ranadheer Podishetti and Rajatha Nagaraja Rao and Geetha Doddapaneni Gopinath and Steffen Oeltze{-}Jafra and Oliver Speck and Andreas N{\"{u}}rnberger}, title = {ShuffleUNet: Super resolution of diffusion-weighted MRIs using deep learning}, booktitle = {29th European Signal Processing Conference, {EUSIPCO} 2021, Dublin, Ireland, August 23-27, 2021}, pages = {940--944}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/EUSIPCO54536.2021.9615963}, doi = {10.23919/EUSIPCO54536.2021.9615963}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eusipco/ChatterjeeSDMPR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ever/ChakrabortyPTCG21, author = {Sajib Chakraborty and Vinayak Padmaji and Dai{-}Duong Tran and Javier A. Corea{-}Araujo and Thomas Geury and Mohamed El Baghdadi and Omar Hegazy}, title = {Multiobjective {GA} Optimization for Energy Efficient Electric Vehicle Drivetrains}, booktitle = {16th International Conference on Ecological Vehicles and Renewable Energies, {EVER} 2021, Monte-Carlo, Monaco, May 5-7, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/EVER52347.2021.9456619}, doi = {10.1109/EVER52347.2021.9456619}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ever/ChakrabortyPTCG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ficta/SharaffUCUT21, author = {Aakanksha Sharaff and Kshitij Ukey and Rajkumar Choure and Vinay Ujee and Gyananjaya Tripathy}, editor = {Suresh Chandra Satapathy and Peter Peer and Jinshan Tang and Vikrant Bhateja and Anumoy Ghosh}, title = {Remodeling Rainfall Prediction Using Artificial Neural Network and Machine Learning Algorithms}, booktitle = {Intelligent Data Engineering and Analytics - Proceedings of the 9th International Conference on Frontiers in Intelligent Computing: Theory and Applications {(FICTA} 2021), Aizawl, India, June 25-26, 2021}, series = {Smart Innovation, Systems and Technologies}, volume = {266}, pages = {253--259}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-981-16-6624-7\_25}, doi = {10.1007/978-981-16-6624-7\_25}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ficta/SharaffUCUT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/KathuriaSKRMH21, author = {Abhishek Kathuria and Terence Saldanha and Jiban Khuntia and Mariana Andrade Rojas and Sunil Mithas and Hyeyoung Hah}, title = {Inferring Supplier Quality in the Gig Economy: The Effectiveness of Signals in Freelance Job Markets}, booktitle = {54th Hawaii International Conference on System Sciences, {HICSS} 2021, Kauai, Hawaii, USA, January 5, 2021}, pages = {1--10}, publisher = {ScholarSpace}, year = {2021}, url = {https://hdl.handle.net/10125/71411}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hicss/KathuriaSKRMH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/RojasSKKB21, author = {Mariana Andrade Rojas and Terence Saldanha and Jiban Khuntia and Abhishek Kathuria and Wai Fong Boh}, title = {Overcoming Innovation Deficiencies in Mexico: Use of Open Innovation through {IT} and Closed Innovation through {IT} by Small and Medium Enterprises}, booktitle = {54th Hawaii International Conference on System Sciences, {HICSS} 2021, Kauai, Hawaii, USA, January 5, 2021}, pages = {1--10}, publisher = {ScholarSpace}, year = {2021}, url = {https://hdl.handle.net/10125/70686}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hicss/RojasSKKB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotos/GhalayiniGSSGPR21, author = {Ahmad Ghalayini and Jinkun Geng and Vighnesh Sachidananda and Vinay Sriram and Yilong Geng and Balaji Prabhakar and Mendel Rosenblum and Anirudh Sivaraman}, editor = {Sebastian Angel and Baris Kasikci and Eddie Kohler}, title = {CloudEx: a fair-access financial exchange in the cloud}, booktitle = {HotOS '21: Workshop on Hot Topics in Operating Systems, Ann Arbor, Michigan, USA, June, 1-3, 2021}, pages = {96--103}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3458336.3465278}, doi = {10.1145/3458336.3465278}, timestamp = {Mon, 07 Jun 2021 14:50:08 +0200}, biburl = {https://dblp.org/rec/conf/hotos/GhalayiniGSSGPR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic3/TahilianiHCG21, author = {Aman Tahiliani and Vikas Hassija and Vinay Chamola and Mohsen Guizani}, title = {Machine Unlearning: Its Need and Implementation Strategies}, booktitle = {{IC3} 2021: Thirteenth International Conference on Contemporary Computing, Noida, India, August 5 - 7, 2021}, pages = {241--246}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3474124.3474158}, doi = {10.1145/3474124.3474158}, timestamp = {Mon, 08 Nov 2021 10:18:03 +0100}, biburl = {https://dblp.org/rec/conf/ic3/TahilianiHCG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/EliasGM021, author = {Vitor Rosa Meireles Elias and Vinay Chakravarthi Gogineni and Wallace A. Martins and Stefan Werner}, title = {Kernel Regression on Graphs in Random Fourier Features Space}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2021, Toronto, ON, Canada, June 6-11, 2021}, pages = {5235--5239}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICASSP39728.2021.9414951}, doi = {10.1109/ICASSP39728.2021.9414951}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/EliasGM021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KadethankarSHB21, author = {Atharva Kadethankar and Neelam Sinha and Vinayaka Hegde and Abhishek Burman}, title = {Signature Feature Marking Enhanced {IRM} Framework for Drone Image Analysis in Precision Agriculture}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2021, Toronto, ON, Canada, June 6-11, 2021}, pages = {2385--2389}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICASSP39728.2021.9413577}, doi = {10.1109/ICASSP39728.2021.9413577}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/KadethankarSHB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/MoingVAIVMT21, author = {Guillaume Le Moing and Phongtharin Vinayavekhin and Don Joven Agravante and Tadanobu Inoue and Jayakorn Vongkulbhisal and Asim Munawar and Ryuki Tachibana}, title = {Data-Efficient Framework for Real-World Multiple Sound Source 2d Localization}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2021, Toronto, ON, Canada, June 6-11, 2021}, pages = {3425--3429}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICASSP39728.2021.9413695}, doi = {10.1109/ICASSP39728.2021.9413695}, timestamp = {Thu, 08 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/MoingVAIVMT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/Vinay0L21, author = {Ashvala Vinay and Alexander Lerch and Grace Leslie}, title = {Mind the Beat: Detecting Audio Onsets from {EEG} Recordings of Music Listening}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2021, Toronto, ON, Canada, June 6-11, 2021}, pages = {231--235}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICASSP39728.2021.9414245}, doi = {10.1109/ICASSP39728.2021.9414245}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/Vinay0L21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/YadavSNH21, author = {Ravindra Yadav and Ashish Sardana and Vinay P. Namboodiri and Rajesh M. Hegde}, title = {Speech Prediction in Silent Videos Using Variational Autoencoders}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2021, Toronto, ON, Canada, June 6-11, 2021}, pages = {7048--7052}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICASSP39728.2021.9414040}, doi = {10.1109/ICASSP39728.2021.9414040}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/YadavSNH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbc2/AbebeHIKPRY21, author = {Ermyas Abebe and Yining Hu and Allison Irvin and Dileban Karunamoorthy and Vinayaka Pandit and Venkatraman Ramakrishna and Jiangshan Yu}, title = {Verifiable Observation of Permissioned Ledgers}, booktitle = {{IEEE} International Conference on Blockchain and Cryptocurrency, {ICBC} 2021, Sydney, Australia, May 3-6, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICBC51069.2021.9461152}, doi = {10.1109/ICBC51069.2021.9461152}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icbc2/AbebeHIKPRY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/AlladiAGCSG21, author = {Tejasvi Alladi and Ayush Agrawal and Bhavya Gera and Vinay Chamola and Biplab Sikdar and Mohsen Guizani}, title = {Deep Neural Networks for Securing IoT Enabled Vehicular Ad-Hoc Networks}, booktitle = {{ICC} 2021 - {IEEE} International Conference on Communications, Montreal, QC, Canada, June 14-23, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICC42927.2021.9500823}, doi = {10.1109/ICC42927.2021.9500823}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/AlladiAGCSG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/FonsecaFBWABB21, author = {Bruna Fonseca and Caio Fonseca and Michael Taynnan Barros and Mark White and Vinay Abhyankar and David A. Borkholder and Sasitharan Balasubramaniam}, title = {Ultrasound-based Control of Micro-Bubbles for Exosome Delivery in Treating {COVID-19} Lung Damage}, booktitle = {{IEEE} International Conference on Communications Workshops, {ICC} Workshops 2021, Montreal, QC, Canada, June 14-23, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCWorkshops50388.2021.9473613}, doi = {10.1109/ICCWORKSHOPS50388.2021.9473613}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/FonsecaFBWABB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CurzelASDL0MCAM21, author = {Serena Curzel and Nicolas Bohm Agostini and Shihao Song and Ismet Dagli and Ankur Limaye and Cheng Tan and Marco Minutoli and Vito Giovanni Castellana and Vinay Amatya and Joseph B. Manzano and Anup Das and Fabrizio Ferrandi and Antonino Tumeo}, title = {Automated Generation of Integrated Digital and Spiking Neuromorphic Machine Learning Accelerators}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2021, Munich, Germany, November 1-4, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCAD51958.2021.9643474}, doi = {10.1109/ICCAD51958.2021.9643474}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CurzelASDL0MCAM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/AcharyaRM21, author = {Vasundhara Acharya and Vinayakumar Ravi and Nazeeruddin Mohammad}, title = {EfficientNet-based Convolutional Neural Networks for Malware Classification}, booktitle = {12th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2021, Kharagpur, India, July 6-8, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCCNT51525.2021.9579750}, doi = {10.1109/ICCCNT51525.2021.9579750}, timestamp = {Thu, 25 Nov 2021 09:08:41 +0100}, biburl = {https://dblp.org/rec/conf/icccnt/AcharyaRM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/GandhiGRVA21, author = {Jinang Gandhi and Aumkar Gadekar and Tania Rajabally and Preetida Vinayakray{-}Jani and Dayanand D. Ambawade}, title = {Detection of Parkinsons Disease Via a Multi-Modal Approach}, booktitle = {12th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2021, Kharagpur, India, July 6-8, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCCNT51525.2021.9580135}, doi = {10.1109/ICCCNT51525.2021.9580135}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icccnt/GandhiGRVA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/JainJGG21, author = {Vanita Jain and Apoorv Jain and Vinayakk Garg and Chirag Gandhi}, title = {HybridLSTM for {NIFTY50} prediction using global indices and technical indicators}, booktitle = {12th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2021, Kharagpur, India, July 6-8, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCCNT51525.2021.9579732}, doi = {10.1109/ICCCNT51525.2021.9579732}, timestamp = {Thu, 25 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icccnt/JainJGG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/SreehariNSVD21, author = {A. S. Sreehari and Vinay G. Nandakumar and Vinay R. Sankar and Amith Venugopal and J. P. Dhivvya}, title = {Machine Learning based IoT framework for Early Detection of Covid-19 like Pandemics}, booktitle = {12th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2021, Kharagpur, India, July 6-8, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCCNT51525.2021.9580015}, doi = {10.1109/ICCCNT51525.2021.9580015}, timestamp = {Thu, 25 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icccnt/SreehariNSVD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccsp/GhandgeUYTKD21, author = {Aniket B. Ghandge and Bhagyashree P. Udhane and Hrithik R. Yadav and Prateek S. Thakare and Vinayak G. Kottawar and Pramod B. Deshmukh}, title = {AlgoAssist: Algorithm Visualizer and Coding Platform for Remote Classroom Learning}, booktitle = {5th International Conference on Computer, Communication and Signal Processing, {ICCCSP} 2021, Chennai, India, May 24-25, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCCSP52374.2021.9465503}, doi = {10.1109/ICCCSP52374.2021.9465503}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icccsp/GhandgeUYTKD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccsp/KendleNPZKD21, author = {Aishwarya S. Kendle and Mayur S. Nagare and Honey G. Patre and Rushikesh S. Zanwar and Vinayak G. Kottawar and Pramod B. Deshmukh}, title = {TnP Vision: Automation and Analysis of Campus Placements in Colleges}, booktitle = {5th International Conference on Computer, Communication and Signal Processing, {ICCCSP} 2021, Chennai, India, May 24-25, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCCSP52374.2021.9465519}, doi = {10.1109/ICCCSP52374.2021.9465519}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icccsp/KendleNPZKD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/GanesanRKVAK21, author = {Shamika Ganesan and Vinayakumar Ravi and Moez Krichen and V. Sowmya and Roobaea Alroobaea and Soman K. P.}, title = {Robust Malware Detection using Residual Attention Network}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2021, Las Vegas, NV, USA, January 10-12, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCE50685.2021.9427623}, doi = {10.1109/ICCE50685.2021.9427623}, timestamp = {Thu, 29 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/GanesanRKVAK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdar/GuptaDKC21, author = {Vaibhavi Gupta and Vinay Detani and Vivek Khokar and Chiranjoy Chattopadhyay}, editor = {Josep Llad{\'{o}}s and Daniel Lopresti and Seiichi Uchida}, title = {C2VNet: {A} Deep Learning Framework Towards Comic Strip to Audio-Visual Scene Synthesis}, booktitle = {16th International Conference on Document Analysis and Recognition, {ICDAR} 2021, Lausanne, Switzerland, September 5-10, 2021, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {12822}, pages = {160--175}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-86331-9\_11}, doi = {10.1007/978-3-030-86331-9\_11}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdar/GuptaDKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdar/VogtlinDPAI21, author = {Lars V{\"{o}}gtlin and Manuel Drazyk and Vinaychandran Pondenkandath and Michele Alberti and Rolf Ingold}, editor = {Josep Llad{\'{o}}s and Daniel Lopresti and Seiichi Uchida}, title = {Generating Synthetic Handwritten Historical Documents with {OCR} Constrained GANs}, booktitle = {16th International Conference on Document Analysis and Recognition, {ICDAR} 2021, Lausanne, Switzerland, September 5-10, 2021, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {12823}, pages = {610--625}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-86334-0\_40}, doi = {10.1007/978-3-030-86334-0\_40}, timestamp = {Thu, 09 Sep 2021 13:50:47 +0200}, biburl = {https://dblp.org/rec/conf/icdar/VogtlinDPAI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icis/SaldanhaKKK21, author = {Terence Saldanha and Abhishek Kathuria and Jiban Khuntia and Benn R. Konsynski}, editor = {Joe S. Valacich and Anitesh Barua and Ryan T. Wright and Atreyi Kankanhalli and Xitong Li and Shaila Miranda}, title = {It's a Dangerous Business, Going Out Your Door: Overcoming Institutional Distances Through {IS}}, booktitle = {Proceedings of the 42nd International Conference on Information Systems, {ICIS} 2021, Building Sustainability and Resilience with {IS:} {A} Call for Action, Austin, TX, USA, December 12-15, 2021}, publisher = {Association for Information Systems}, year = {2021}, url = {https://aisel.aisnet.org/icis2021/governance/governance/12}, timestamp = {Sun, 16 Apr 2023 13:39:53 +0200}, biburl = {https://dblp.org/rec/conf/icis/SaldanhaKKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/AitkenRGCSM21, author = {Kyle Aitken and Vinay Venkatesh Ramasesh and Ankush Garg and Yuan Cao and David Sussillo and Niru Maheswaranathan}, title = {The geometry of integration in text classification RNNs}, booktitle = {9th International Conference on Learning Representations, {ICLR} 2021, Virtual Event, Austria, May 3-7, 2021}, publisher = {OpenReview.net}, year = {2021}, url = {https://openreview.net/forum?id=42kiJ7n\_8xO}, timestamp = {Wed, 23 Jun 2021 17:36:39 +0200}, biburl = {https://dblp.org/rec/conf/iclr/AitkenRGCSM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/KosaianPPDV21, author = {Jack Kosaian and Amar Phanishayee and Matthai Philipose and Debadeepta Dey and Rashmi Vinayak}, editor = {Marina Meila and Tong Zhang}, title = {Boosting the Throughput and Accelerator Utilization of Specialized {CNN} Inference Beyond Increasing Batch Size}, booktitle = {Proceedings of the 38th International Conference on Machine Learning, {ICML} 2021, 18-24 July 2021, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {139}, pages = {5731--5741}, publisher = {{PMLR}}, year = {2021}, url = {http://proceedings.mlr.press/v139/kosaian21a.html}, timestamp = {Wed, 25 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/KosaianPPDV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmla/GargSJS21, author = {Amish Garg and Tanav Shah and Vinay Kumar Jain and Raksha Sharma}, editor = {M. Arif Wani and Ishwar K. Sethi and Weisong Shi and Guangzhi Qu and Daniela Stan Raicu and Ruoming Jin}, title = {CrypTop12: {A} Dataset For Cryptocurrency Price Movement Prediction From Tweets And Historical Prices}, booktitle = {20th {IEEE} International Conference on Machine Learning and Applications, {ICMLA} 2021, Pasadena, CA, USA, December 13-16, 2021}, pages = {379--384}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICMLA52953.2021.00065}, doi = {10.1109/ICMLA52953.2021.00065}, timestamp = {Tue, 01 Feb 2022 17:24:07 +0100}, biburl = {https://dblp.org/rec/conf/icmla/GargSJS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icppw/GavirangaswamyG21, author = {Vinay B. Gavirangaswamy and Ajay Gupta and Vasilije Perovic and Hisham Saleh}, editor = {Federico Silla and Osni Marques}, title = {Towards Faster Execution of Ensemble {ML} Bootstrap Based Techniques}, booktitle = {{ICPP} Workshops 2021: 50th International Conference on Parallel Processing, Virtual Event / Lemont (near Chicago), IL, USA, August 9-12, 2021}, pages = {26:1--26:8}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3458744.3473365}, doi = {10.1145/3458744.3473365}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icppw/GavirangaswamyG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icvgip/GuptaKMNJ21, author = {Anchit Gupta and Faizan Farooq Khan and Rudrabha Mukhopadhyay and Vinay P. Namboodiri and C. V. Jawahar}, editor = {Rama Chellappa and Santanu Chaudhury and Chetan Arora and Parag Chaudhuri and Subhransu Maji}, title = {Intelligent video editing: incorporating modern talking face generation algorithms in a video editor}, booktitle = {{ICVGIP} '21: Indian Conference on Computer Vision, Graphics and Image Processing, Jodhpur, India, December 19 - 22, 2021}, pages = {25:1--25:9}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3490035.3490284}, doi = {10.1145/3490035.3490284}, timestamp = {Wed, 15 Dec 2021 17:25:25 +0100}, biburl = {https://dblp.org/rec/conf/icvgip/GuptaKMNJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icvgip/NageliGJ21, author = {Vinayak Nageli and Rama Krishna Sai Subrahmanyam Gorthi and Arshad Jamal}, editor = {Rama Chellappa and Santanu Chaudhury and Chetan Arora and Parag Chaudhuri and Subhransu Maji}, title = {SiamRPN++D: improved SiamRPN++ using cascaded detector sensing}, booktitle = {{ICVGIP} '21: Indian Conference on Computer Vision, Graphics and Image Processing, Jodhpur, India, December 19 - 22, 2021}, pages = {39:1--39:9}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3490035.3490298}, doi = {10.1145/3490035.3490298}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icvgip/NageliGJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idaacs/MGKAS21, author = {Saathvik B. M. and Vinayak Gupta and Ayush Kedia and Lov Asawa and Karpagavalli Subramanian}, title = {Neural Network Based Intelligent Traffic System}, booktitle = {2021 11th {IEEE} International Conference on Intelligent Data Acquisition and Advanced Computing Systems: Technology and Applications (IDAACS), Cracow, Poland, September 22-25, 2021}, pages = {1101--1107}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IDAACS53288.2021.9660846}, doi = {10.1109/IDAACS53288.2021.9660846}, timestamp = {Fri, 07 Jan 2022 10:36:47 +0100}, biburl = {https://dblp.org/rec/conf/idaacs/MGKAS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieem/MataiSSST21, author = {Rajesh Matai and R. R. K. Sharma and Vinay Singh and Surya Prakash Singh and Trinika}, title = {A New Discrete Bi-objective Formulation of Unequal Area Facility Layout Problem}, booktitle = {{IEEE} International Conference on Industrial Engineering and Engineering Management, {IEEM} 2021, Singapore, December 13-16, 2021}, pages = {778--782}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IEEM50564.2021.9673028}, doi = {10.1109/IEEM50564.2021.9673028}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieem/MataiSSST21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/GorrASRMN21, author = {Benjamin Gorr and Alan Aguilar and Daniel Selva and Vinay Ravindra and Mahta Moghaddam and Sreeja Nag}, title = {Heterogeneous Constellation Design for a Smart Soil Moisture Radar Mission}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2021, Brussels, Belgium, July 11-16, 2021}, pages = {7799--7802}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IGARSS47720.2021.9553234}, doi = {10.1109/IGARSS47720.2021.9553234}, timestamp = {Tue, 19 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/GorrASRMN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/NagMSFRLAGLA21, author = {Sreeja Nag and Mahta Moghaddam and Daniel Selva and Jeremy Frank and Vinay Ravindra and Richard Levinson and Amir Azemati and Benjamin Gorr and Alan Li and Ruzbeh Akbar}, title = {Soil Moisture Monitoring Using Autonomous and Distributed Spacecraft (D-Shield)}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2021, Brussels, Belgium, July 11-16, 2021}, pages = {1474--1475}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IGARSS47720.2021.9554823}, doi = {10.1109/IGARSS47720.2021.9554823}, timestamp = {Mon, 18 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/NagMSFRLAGLA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/KarimVSNR21, author = {Mohammed Asad Karim and Vinay Kumar Verma and Pravendra Singh and Vinay P. Namboodiri and Piyush Rai}, editor = {Zhi{-}Hua Zhou}, title = {Knowledge Consolidation based Class Incremental Online Learning with Limited Data}, booktitle = {Proceedings of the Thirtieth International Joint Conference on Artificial Intelligence, {IJCAI} 2021, Virtual Event / Montreal, Canada, 19-27 August 2021}, pages = {2621--2627}, publisher = {ijcai.org}, year = {2021}, url = {https://doi.org/10.24963/ijcai.2021/361}, doi = {10.24963/IJCAI.2021/361}, timestamp = {Wed, 21 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/KarimVSNR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/NaseemKRRRK21, author = {Usman Naseem and Matloob Khushi and Vinay Reddy and Sakthivel Rajendran and Imran Razzak and Jinman Kim}, title = {BioALBERT: {A} Simple and Effective Pre-trained Language Model for Biomedical Named Entity Recognition}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2021, Shenzhen, China, July 18-22, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IJCNN52387.2021.9533884}, doi = {10.1109/IJCNN52387.2021.9533884}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ijcnn/NaseemKRRRK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imspa/JindalNA21, author = {Sahil Jindal and Karan Nathwani and Vinayak Abrol}, editor = {Tomislav Petkovic and Davor Petrinovic and Sven Loncaric}, title = {Classification of Infant Behavioural Traits using Acoustic Cry: An Empirical Study}, booktitle = {12th International Symposium on Image and Signal Processing and Analysis, {ISPA} 2021, Zagreb, Croatia, September 13-15, 2021}, pages = {97--102}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPA52656.2021.9552159}, doi = {10.1109/ISPA52656.2021.9552159}, timestamp = {Mon, 06 Nov 2023 15:50:05 +0100}, biburl = {https://dblp.org/rec/conf/imspa/JindalNA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indiaSE/RajbhojDTMK21, author = {Asha Rajbhoj and Prateek Dhawan and Vishnu TV and Pankaj Malhotra and Vinay Kulkarni}, editor = {Durga Prasad Mohapatra and Samaresh Mishra and Tony Clark and Alpana Dubey and Richa Sharma and Lov Kumar}, title = {Re-Imagining data analytics software development}, booktitle = {{ISEC} 2021: 14th Innovations in Software Engineering Conference, Bhubaneswar, Odisha, India, February 25-27, 2021}, pages = {20:1--20:5}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3452383.3452403}, doi = {10.1145/3452383.3452403}, timestamp = {Tue, 04 May 2021 15:52:21 +0200}, biburl = {https://dblp.org/rec/conf/indiaSE/RajbhojDTMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/AlladiVCC21, author = {Tejasvi Alladi and Vishnu Venkatesh and Vinay Chamola and Nitin Chaturvedi}, title = {Drone-MAP: {A} Novel Authentication Scheme for Drone-Assisted 5G Networks}, booktitle = {2021 {IEEE} Conference on Computer Communications Workshops, {INFOCOM} Workshops 2021, Vancouver, BC, Canada, May 10-13, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/INFOCOMWKSHPS51825.2021.9484594}, doi = {10.1109/INFOCOMWKSHPS51825.2021.9484594}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/infocom/AlladiVCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/BiswasNA21, author = {Ritujoy Biswas and Karan Nathwani and Vinayak Abrol}, editor = {Hynek Hermansky and Honza Cernock{\'{y}} and Luk{\'{a}}s Burget and Lori Lamel and Odette Scharenborg and Petr Motl{\'{\i}}cek}, title = {Transfer Learning for Speech Intelligibility Improvement in Noisy Environments}, booktitle = {Interspeech 2021, 22nd Annual Conference of the International Speech Communication Association, Brno, Czechia, 30 August - 3 September 2021}, pages = {176--180}, publisher = {{ISCA}}, year = {2021}, url = {https://doi.org/10.21437/Interspeech.2021-150}, doi = {10.21437/INTERSPEECH.2021-150}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/BiswasNA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/MachiBHCMVLWFMB21, author = {Dustin Machi and Parantapa Bhattacharya and Stefan Hoops and Jiangzhuo Chen and Henning S. Mortveit and Srinivasan Venkatramanan and Bryan L. Lewis and Mandy L. Wilson and Arindam Fadikar and Tom Maiden and Christopher L. Barrett and Madhav V. Marathe}, title = {Scalable Epidemiological Workflows to Support {COVID-19} Planning and Response}, booktitle = {35th {IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2021, Portland, OR, USA, May 17-21, 2021}, pages = {639--650}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IPDPS49936.2021.00072}, doi = {10.1109/IPDPS49936.2021.00072}, timestamp = {Fri, 02 Jul 2021 14:10:24 +0200}, biburl = {https://dblp.org/rec/conf/ipps/MachiBHCMVLWFMB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/AnkitNGHN21, author = {Ankit and Karthik Narayanan and Dibyendu Ghosh and Vinayak Honkote and Ganeshram Nandakumar}, title = {Multi-Variable State Prediction: {HMM} Based Approach for Real-Time Trajectory Prediction}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2021, Prague, Czech Republic, September 27 - Oct. 1, 2021}, pages = {8052--8058}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IROS51168.2021.9636556}, doi = {10.1109/IROS51168.2021.9636556}, timestamp = {Wed, 22 Dec 2021 12:36:19 +0100}, biburl = {https://dblp.org/rec/conf/iros/AnkitNGHN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/SharmaGGHNG21, author = {Paras Sharma and Ankit Gupta and Dibyendu Ghosh and Vinayak Honkote and Ganeshram Nandakumar and Debasish Ghose}, title = {{PG-RRT:} {A} Gaussian Mixture Model Driven, Kinematically Constrained Bi-directional {RRT} for Robot Path Planning}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2021, Prague, Czech Republic, September 27 - Oct. 1, 2021}, pages = {3666--3673}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IROS51168.2021.9636134}, doi = {10.1109/IROS51168.2021.9636134}, timestamp = {Wed, 22 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/SharmaGGHNG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/VenkataramaniSW21, author = {Swagath Venkataramani and Vijayalakshmi Srinivasan and Wei Wang and Sanchari Sen and Jintao Zhang and Ankur Agrawal and Monodeep Kar and Shubham Jain and Alberto Mannari and Hoang Tran and Yulong Li and Eri Ogawa and Kazuaki Ishizaki and Hiroshi Inoue and Marcel Schaal and Mauricio J. Serrano and Jungwook Choi and Xiao Sun and Naigang Wang and Chia{-}Yu Chen and Allison Allain and James Bonanno and Nianzheng Cao and Robert Casatuta and Matthew Cohen and Bruce M. Fleischer and Michael Guillorn and Howard Haynie and Jinwook Jung and Mingu Kang and Kyu{-}Hyoun Kim and Siyu Koswatta and Sae Kyu Lee and Martin Lutz and Silvia M. Mueller and Jinwook Oh and Ashish Ranjan and Zhibin Ren and Scot Rider and Kerstin Schelm and Michael Scheuermann and Joel Silberman and Jie Yang and Vidhi Zalani and Xin Zhang and Ching Zhou and Matthew M. Ziegler and Vinay Shah and Moriyoshi Ohara and Pong{-}Fei Lu and Brian W. Curran and Sunil Shukla and Leland Chang and Kailash Gopalakrishnan}, title = {RaPiD: {AI} Accelerator for Ultra-low Precision Training and Inference}, booktitle = {48th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2021, Virtual Event / Valencia, Spain, June 14-18, 2021}, pages = {153--166}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCA52012.2021.00021}, doi = {10.1109/ISCA52012.2021.00021}, timestamp = {Mon, 19 Feb 2024 07:32:07 +0100}, biburl = {https://dblp.org/rec/conf/isca/VenkataramaniSW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SadasivuniCKBS21, author = {Sudarsan Sadasivuni and Rahul Chowdhury and Vinay Elkoori Ghantala Karnam and Imon Banerjee and Arindam Sanyal}, title = {Recurrent Neural Network Circuit for Automated Detection of Atrial Fibrillation from Raw {ECG}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021, Daegu, South Korea, May 22-28, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCAS51556.2021.9401666}, doi = {10.1109/ISCAS51556.2021.9401666}, timestamp = {Fri, 02 Jul 2021 12:26:54 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SadasivuniCKBS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isic2/MishraG21, author = {Anand Muni Mishra and Vinay Gautam}, editor = {Sarika Jain and Sven Groppe}, title = {Weed Species Identification in Different Crops Using Precision Weed Management: {A} Review}, booktitle = {Proceedings of the International Semantic Intelligence Conference 2021 {(ISIC} 2021), New Delhi, India, February 25-27, 2021}, series = {{CEUR} Workshop Proceedings}, volume = {2786}, pages = {180--194}, publisher = {CEUR-WS.org}, year = {2021}, url = {https://ceur-ws.org/Vol-2786/Paper25.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:13 +0100}, biburl = {https://dblp.org/rec/conf/isic2/MishraG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/RudowRG21, author = {Michael Rudow and K. V. Rashmi and Venkatesan Guruswami}, title = {A locality-based lens for coded computation}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2021, Melbourne, Australia, July 12-20, 2021}, pages = {1070--1075}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISIT45174.2021.9518056}, doi = {10.1109/ISIT45174.2021.9518056}, timestamp = {Fri, 03 Sep 2021 10:54:55 +0200}, biburl = {https://dblp.org/rec/conf/isit/RudowRG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/SaxenaRNGNLM21, author = {Vinay Saxena and Ankitha Reddy and Jonathan Neudorfer and John L. Gustafson and Sangeeth Nambiar and Rainer Leupers and Farhad Merchant}, title = {Brightening the Optical Flow through Posit Arithmetic}, booktitle = {22nd International Symposium on Quality Electronic Design, {ISQED} 2021, Santa Clara, CA, USA, April 7-9, 2021}, pages = {463--468}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISQED51717.2021.9424360}, doi = {10.1109/ISQED51717.2021.9424360}, timestamp = {Mon, 17 May 2021 16:05:56 +0200}, biburl = {https://dblp.org/rec/conf/isqed/SaxenaRNGNLM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AgrawalLSZKVCFG21, author = {Ankur Agrawal and Sae Kyu Lee and Joel Silberman and Matthew M. Ziegler and Mingu Kang and Swagath Venkataramani and Nianzheng Cao and Bruce M. Fleischer and Michael Guillorn and Matt Cohen and Silvia M. Mueller and Jinwook Oh and Martin Lutz and Jinwook Jung and Siyu Koswatta and Ching Zhou and Vidhi Zalani and James Bonanno and Robert Casatuta and Chia{-}Yu Chen and Jungwook Choi and Howard Haynie and Alyssa Herbert and Radhika Jain and Monodeep Kar and Kyu{-}Hyoun Kim and Yulong Li and Zhibin Ren and Scot Rider and Marcel Schaal and Kerstin Schelm and Michael Scheuermann and Xiao Sun and Hung Tran and Naigang Wang and Wei Wang and Xin Zhang and Vinay Shah and Brian W. Curran and Vijayalakshmi Srinivasan and Pong{-}Fei Lu and Sunil Shukla and Leland Chang and Kailash Gopalakrishnan}, title = {A 7nm 4-Core {AI} Chip with 25.6TFLOPS Hybrid {FP8} Training, 102.4TOPS {INT4} Inference and Workload-Aware Throttling}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {144--146}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365791}, doi = {10.1109/ISSCC42613.2021.9365791}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AgrawalLSZKVCFG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/istas/IbrahimMPAUKBGA21, author = {Nadine Ibrahim and Erin Mahoney and Vinay Patel and Clinton J. Andrews and Mariko Uda and Sherif Kinawy and Evalyna Bogdan and Sina Golchi and A. C. Atienza and Shaieree Cottar and Chaz Garraway and Ashley Roszko and Gloria Michalchuk and Tanishi Naik and Jonathan Ali}, title = {Water and cities: Get in the game!}, booktitle = {{IEEE} International Symposium on Technology and Society, {ISTAS} 2021, Waterloo, ON, Canada, October 28-31, 2021}, pages = {1}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISTAS52410.2021.9629121}, doi = {10.1109/ISTAS52410.2021.9629121}, timestamp = {Sat, 28 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/istas/IbrahimMPAUKBGA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/VinayakZBM21, author = {Akhil Vinayak and Muhammad Aizzat Bin Zakaria and K. Baarath and Anwar P. P. Abdul Majeed}, title = {A novel Bezier curve control point search algorithm for autonomous navigation using N-order polynomial search with boundary conditions}, booktitle = {24th {IEEE} International Intelligent Transportation Systems Conference, {ITSC} 2021, Indianapolis, IN, USA, September 19-22, 2021}, pages = {3884--3889}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITSC48978.2021.9564605}, doi = {10.1109/ITSC48978.2021.9564605}, timestamp = {Wed, 03 Nov 2021 08:38:45 +0100}, biburl = {https://dblp.org/rec/conf/itsc/VinayakZBM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kbse/CaoZ00TTA21, author = {Yushi Cao and Yan Zheng and Shang{-}Wei Lin and Yang Liu and Yon Shin Teo and Yuxuan Toh and Vinay Vishnumurthy Adiga}, title = {Automatic {HMI} Structure Exploration Via Curiosity-Based Reinforcement Learning}, booktitle = {36th {IEEE/ACM} International Conference on Automated Software Engineering, {ASE} 2021, Melbourne, Australia, November 15-19, 2021}, pages = {1151--1155}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ASE51524.2021.9678703}, doi = {10.1109/ASE51524.2021.9678703}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kbse/CaoZ00TTA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/Adiga0HPPVLM21, author = {Aniruddha Adiga and Lijing Wang and Benjamin Hurt and Akhil Sai Peddireddy and Przemyslaw J. Porebski and Srinivasan Venkatramanan and Bryan Leroy Lewis and Madhav V. Marathe}, editor = {Feida Zhu and Beng Chin Ooi and Chunyan Miao}, title = {All Models Are Useful: Bayesian Ensembling for Robust High Resolution {COVID-19} Forecasting}, booktitle = {{KDD} '21: The 27th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, Virtual Event, Singapore, August 14-18, 2021}, pages = {2505--2513}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447548.3467197}, doi = {10.1145/3447548.3467197}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kdd/Adiga0HPPVLM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mascots/AwathareSARB21, author = {Nitin Awathare and Suraj and Akash and Vinay Joseph Ribeiro and Umesh Bellur}, title = {{REBAL:} Channel Balancing for Payment Channel Networks}, booktitle = {29th International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems, {MASCOTS} 2021, Houston, TX, USA, November 3-5, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/MASCOTS53633.2021.9614304}, doi = {10.1109/MASCOTS53633.2021.9614304}, timestamp = {Mon, 29 Nov 2021 13:35:36 +0100}, biburl = {https://dblp.org/rec/conf/mascots/AwathareSARB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/KhanSGGMJ21, author = {Naved Khan and Meghdeep Sen and Shashi Gogia and Vinay Garodia and J. Maheshwari and G. S. Jaiya}, editor = {Paula Otero and Philip Scott and Susan Z. Martin and Elaine Huesing}, title = {{COVID} 19 Care Call-in Helpline. {A} Report from India}, booktitle = {{MEDINFO} 2021: One World, One Health - Global Partnership for Digital Innovation - Proceedings of the 18th World Congress on Medical and Health Informatics, Virtual Event, 2-4 October 2021}, series = {Studies in Health Technology and Informatics}, volume = {290}, pages = {1026--1027}, publisher = {{IOS} Press}, year = {2021}, url = {https://doi.org/10.3233/SHTI220250}, doi = {10.3233/SHTI220250}, timestamp = {Wed, 15 Jun 2022 15:51:15 +0200}, biburl = {https://dblp.org/rec/conf/medinfo/KhanSGGMJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/milcom/TayemHVSA21, author = {Nizar Tayem and Ahmed A. Hussain and Vinay Reddy Veramareddy and Abdel{-}Hamid Soliman and Jaafar M. Alghazo}, title = {Propagator Rooting Method Direction of Arrival Estimation Based on Real Data}, booktitle = {2021 {IEEE} Military Communications Conference, {MILCOM} 2021, San Diego, CA, USA, November 29 - Dec. 2, 2021}, pages = {975--980}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/MILCOM52596.2021.9653081}, doi = {10.1109/MILCOM52596.2021.9653081}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/milcom/TayemHVSA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mum/GeertsVBVMCG21, author = {David Geerts and Radu{-}Daniel Vatavu and Alisa Burova and Vinoba Vinayagamoorthy and Martez E. Mott and Michael Crabb and Kathrin Gerling}, title = {Challenges in Designing Inclusive Immersive Technologies}, booktitle = {{MUM} 2021: 20th International Conference on Mobile and Ubiquitous Multimedia, Leuven, Belgium, December 5 - 8, 2021}, pages = {182--185}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3490632.3497751}, doi = {10.1145/3490632.3497751}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mum/GeertsVBVMCG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/SinghSHS21, author = {Puneet Singh and Mayank Kumar Singh and Vinayak Gopal Hande and Mahendra Sakare}, title = {Design of a {PRBS} generator and a serializer using active inductor employed {CML} latch}, booktitle = {64th {IEEE} International Midwest Symposium on Circuits and Systems, {MWSCAS} 2021, Lansing, MI, USA, August 9-11, 2021}, pages = {802--805}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/MWSCAS47672.2021.9531752}, doi = {10.1109/MWSCAS47672.2021.9531752}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/SinghSHS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/AitkenRCM21, author = {Kyle Aitken and Vinay V. Ramasesh and Yuan Cao and Niru Maheswaranathan}, editor = {Marc'Aurelio Ranzato and Alina Beygelzimer and Yann N. Dauphin and Percy Liang and Jennifer Wortman Vaughan}, title = {Understanding How Encoder-Decoder Architectures Attend}, booktitle = {Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, NeurIPS 2021, December 6-14, 2021, virtual}, pages = {22184--22195}, year = {2021}, url = {https://proceedings.neurips.cc/paper/2021/hash/ba3c736667394d5082f86f28aef38107-Abstract.html}, timestamp = {Tue, 03 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/AitkenRCM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/SinglaMWDGEKSD21, author = {Samriddhi Singla and Ayan Mukhopadhyay and Michael Wilbur and Tina Diao and Vinayak Gajjewar and Ahmed Eldawy and Mykel J. Kochenderfer and Ross D. Shachter and Abhishek Dubey}, editor = {Joaquin Vanschoren and Sai{-}Kit Yeung}, title = {WildfireDB: An Open-Source Dataset Connecting Wildfire Occurrence with Relevant Determinants}, booktitle = {Proceedings of the Neural Information Processing Systems Track on Datasets and Benchmarks 1, NeurIPS Datasets and Benchmarks 2021, December 2021, virtual}, year = {2021}, url = {https://datasets-benchmarks-proceedings.neurips.cc/paper/2021/hash/3fe94a002317b5f9259f82690aeea4cd-Abstract-round2.html}, timestamp = {Thu, 05 May 2022 16:30:03 +0200}, biburl = {https://dblp.org/rec/conf/nips/SinglaMWDGEKSD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/WeiFGGCJJBLBBPL21, author = {Xiaoxi Wei and A. Aldo Faisal and Moritz Grosse{-}Wentrup and Alexandre Gramfort and Sylvain Chevallier and Vinay Jayaram and Camille Jeunet and Stylianos Bakas and Siegfried Ludwig and Konstantinos Barmpas and Mehdi Bahri and Yannis Panagakis and Nikolaos A. Laskaris and Dimitrios A. Adamos and Stefanos Zafeiriou and William C. Duong and Stephen M. Gordon and Vernon J. Lawhern and Maciej Sliwowski and Vincent Rouanne and Piotr Tempczyk}, editor = {Douwe Kiela and Marco Ciccone and Barbara Caputo}, title = {2021 {BEETL} Competition: Advancing Transfer Learning for Subject Independence {\&} Heterogenous {EEG} Data Sets}, booktitle = {NeurIPS 2021 Competitions and Demonstrations Track, 6-14 December 2021, Online}, series = {Proceedings of Machine Learning Research}, volume = {176}, pages = {205--219}, publisher = {{PMLR}}, year = {2021}, url = {https://proceedings.mlr.press/v176/wei22a.html}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/WeiFGGCJJBLBBPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nsdi/YangYV21, author = {Juncheng Yang and Yao Yue and Rashmi Vinayak}, editor = {James Mickens and Renata Teixeira}, title = {Segcache: a memory-efficient and scalable in-memory key-value cache for small objects}, booktitle = {18th {USENIX} Symposium on Networked Systems Design and Implementation, {NSDI} 2021, April 12-14, 2021}, pages = {503--518}, publisher = {{USENIX} Association}, year = {2021}, url = {https://www.usenix.org/conference/nsdi21/presentation/yang-juncheng}, timestamp = {Thu, 12 Aug 2021 18:19:16 +0200}, biburl = {https://dblp.org/rec/conf/nsdi/YangYV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/BaluBKRSHKAG21, author = {Aditya Balu and Sergio Botelho and Biswajit Khara and Vinay Rao and Soumik Sarkar and Chinmay Hegde and Adarsh Krishnamurthy and Santi Adavani and Baskar Ganapathysubramanian}, editor = {Bronis R. de Supinski and Mary W. Hall and Todd Gamblin}, title = {Distributed multigrid neural solvers on megavoxel domains}, booktitle = {International Conference for High Performance Computing, Networking, Storage and Analysis, {SC} 2021, St. Louis, Missouri, USA, November 14-19, 2021}, pages = {49}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3458817.3476218}, doi = {10.1145/3458817.3476218}, timestamp = {Tue, 08 Nov 2022 16:03:02 +0100}, biburl = {https://dblp.org/rec/conf/sc/BaluBKRSHKAG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/GoyalECS21, author = {Adit Goyal and Anubhav Elhence and Vinay Chamola and Biplab Sikdar}, editor = {Jorge S{\'{a}} Silva and Fernando Boavida and Andr{\'{e}} Rodrigues and Andrew Markham and Rong Zheng}, title = {A Blockchain and Machine Learning based Framework for Efficient Health Insurance Management}, booktitle = {SenSys '21: The 19th {ACM} Conference on Embedded Networked Sensor Systems, Coimbra, Portugal, November 15 - 17, 2021}, pages = {511--515}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3485730.3493685}, doi = {10.1145/3485730.3493685}, timestamp = {Thu, 09 Feb 2023 16:29:27 +0100}, biburl = {https://dblp.org/rec/conf/sensys/GoyalECS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/AhujaGDBGZLXZ21, author = {Satyajeet Singh Ahuja and Varun Gupta and Vinayak Dangui and Soshant Bali and Abishek Gopalan and Hao Zhong and Petr Lapukhov and Yiting Xia and Ying Zhang}, editor = {Fernando A. Kuipers and Matthew C. Caesar}, title = {Capacity-efficient and uncertainty-resilient backbone network planning with hose}, booktitle = {{ACM} {SIGCOMM} 2021 Conference, Virtual Event, USA, August 23-27, 2021}, pages = {547--559}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3452296.3472918}, doi = {10.1145/3452296.3472918}, timestamp = {Fri, 10 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigcomm/AhujaGDBGZLXZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssp/GogineniLNNNGW21, author = {Vinay Chakravarthi Gogineni and Severin R. E. Langberg and Valeriya Naumova and Jan F. Nyg{\aa}rd and Mari Nyg{\aa}rd and Markus Grasmair and Stefan Werner}, title = {Data-Driven Personalized Cervical Cancer Risk Prediction: {A} Graph-Perspective}, booktitle = {{IEEE} Statistical Signal Processing Workshop, {SSP} 2021, Rio de Janeiro, Brazil, July 11-14, 2021}, pages = {46--50}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SSP49050.2021.9513824}, doi = {10.1109/SSP49050.2021.9513824}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ssp/GogineniLNNNGW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tvx/SalvadorBVSF21, author = {Gon{\c{c}}alo Filipe Duarte Salvador and Patr{\'{\i}}cia J. Bota and Vinoba Vinayagamoorthy and Hugo Pl{\'{a}}cido da Silva and Ana L. N. Fred}, title = {Smartphone-based Content Annotation for Ground Truth Collection in Affective Computing}, booktitle = {{IMX} '21: {ACM} International Conference on Interactive Media Experiences, Virtual Event, USA, June 21-23, 2021}, pages = {199--204}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3452918.3465505}, doi = {10.1145/3452918.3465505}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/tvx/SalvadorBVSF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/DewanGND21, author = {Ankita Dewan and Venkata M. V. Gunturi and Vinayak Naik and Kousik Kumar Dutta}, title = {{NEAT} Activity Detection using Smartwatch at Low Sampling Frequency}, booktitle = {2021 {IEEE} SmartWorld, Ubiquitous Intelligence {\&} Computing, Advanced {\&} Trusted Computing, Scalable Computing {\&} Communications, Internet of People and Smart City Innovation (SmartWorld/SCALCOM/UIC/ATC/IOP/SCI), Atlanta, GA, USA, October 18-21, 2021}, pages = {25--32}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SWC50871.2021.00014}, doi = {10.1109/SWC50871.2021.00014}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/uic/DewanGND21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uss/AliapouliosBBLM21, author = {Maxwell Aliapoulios and Cameron Ballard and Rasika Bhalerao and Tobias Lauinger and Damon McCoy}, editor = {Michael D. Bailey and Rachel Greenstadt}, title = {Swiped: Analyzing Ground-truth Data of a Marketplace for Stolen Debit and Credit Cards}, booktitle = {30th {USENIX} Security Symposium, {USENIX} Security 2021, August 11-13, 2021}, pages = {4151--4168}, publisher = {{USENIX} Association}, year = {2021}, url = {https://www.usenix.org/conference/usenixsecurity21/presentation/aliapoulios}, timestamp = {Mon, 20 Nov 2023 08:57:49 +0100}, biburl = {https://dblp.org/rec/conf/uss/AliapouliosBBLM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/BansalTNC21, author = {Gaurang Bansal and Abhishek Tyagi and Vishnu Narayanan and Vinay Chamola}, title = {Hardware Testbed based Analytical Performance Modelling for Mobile Task Offloading in {UAV} Edge Cloudlets}, booktitle = {94th {IEEE} Vehicular Technology Conference, {VTC} Fall 2021, Norman, OK, USA, September 27-30, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/VTC2021-Fall52928.2021.9625565}, doi = {10.1109/VTC2021-FALL52928.2021.9625565}, timestamp = {Mon, 20 Dec 2021 11:29:26 +0100}, biburl = {https://dblp.org/rec/conf/vtc/BansalTNC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/TayemBVH21, author = {Nizar Tayem and Srdan Budimir and Vinay Reddy Veramareddy and Ahmed A. Hussain}, title = {Capon Root-MUSIC-like Direction of Arrival Estimation Based on Real Data}, booktitle = {94th {IEEE} Vehicular Technology Conference, {VTC} Fall 2021, Norman, OK, USA, September 27-30, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/VTC2021-Fall52928.2021.9625275}, doi = {10.1109/VTC2021-FALL52928.2021.9625275}, timestamp = {Mon, 20 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vtc/TayemBVH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/BirhaneP21, author = {Abeba Birhane and Vinay Uday Prabhu}, title = {Large image datasets: {A} pyrrhic win for computer vision?}, booktitle = {{IEEE} Winter Conference on Applications of Computer Vision, {WACV} 2021, Waikoloa, HI, USA, January 3-8, 2021}, pages = {1536--1546}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/WACV48630.2021.00158}, doi = {10.1109/WACV48630.2021.00158}, timestamp = {Fri, 18 Jun 2021 10:51:54 +0200}, biburl = {https://dblp.org/rec/conf/wacv/BirhaneP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/HegdePMNJ21, author = {Sindhu B. Hegde and K. R. Prajwal and Rudrabha Mukhopadhyay and Vinay P. Namboodiri and C. V. Jawahar}, title = {Visual Speech Enhancement Without {A} Real Visual Stream}, booktitle = {{IEEE} Winter Conference on Applications of Computer Vision, {WACV} 2021, Waikoloa, HI, USA, January 3-8, 2021}, pages = {1925--1934}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/WACV48630.2021.00197}, doi = {10.1109/WACV48630.2021.00197}, timestamp = {Wed, 21 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wacv/HegdePMNJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/KurmiSN21, author = {Vinod K. Kurmi and Venkatesh K. Subramanian and Vinay P. Namboodiri}, title = {Domain Impression: {A} Source Data Free Domain Adaptation Method}, booktitle = {{IEEE} Winter Conference on Applications of Computer Vision, {WACV} 2021, Waikoloa, HI, USA, January 3-8, 2021}, pages = {615--625}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/WACV48630.2021.00066}, doi = {10.1109/WACV48630.2021.00066}, timestamp = {Fri, 18 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wacv/KurmiSN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/MazumderSN21a, author = {Pratik Mazumder and Pravendra Singh and Vinay P. Namboodiri}, title = {{RNNP:} {A} Robust Few-Shot Learning Approach}, booktitle = {{IEEE} Winter Conference on Applications of Computer Vision, {WACV} 2021, Waikoloa, HI, USA, January 3-8, 2021}, pages = {2663--2672}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/WACV48630.2021.00271}, doi = {10.1109/WACV48630.2021.00271}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wacv/MazumderSN21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/PatroKJN21, author = {Badri N. Patro and G. S. Kasturi and Ansh Jain and Vinay P. Namboodiri}, title = {Self Supervision for Attention Networks}, booktitle = {{IEEE} Winter Conference on Applications of Computer Vision, {WACV} 2021, Waikoloa, HI, USA, January 3-8, 2021}, pages = {726--735}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/WACV48630.2021.00077}, doi = {10.1109/WACV48630.2021.00077}, timestamp = {Fri, 18 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wacv/PatroKJN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/Venkataramaiyer21, author = {Raghav Brahmadesam Venkataramaiyer and Abhishek Joshi and Saisha Narang and Vinay P. Namboodiri}, title = {{SHAD3S:} {A} model to Sketch, Shade and Shadow}, booktitle = {{IEEE} Winter Conference on Applications of Computer Vision, {WACV} 2021, Waikoloa, HI, USA, January 3-8, 2021}, pages = {3615--3624}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/WACV48630.2021.00366}, doi = {10.1109/WACV48630.2021.00366}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wacv/Venkataramaiyer21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/VermaMPMR21, author = {Vinay Kumar Verma and Ashish Mishra and Anubha Pandey and Hema A. Murthy and Piyush Rai}, title = {Towards Zero-Shot Learning with Fewer Seen Class Examples}, booktitle = {{IEEE} Winter Conference on Applications of Computer Vision, {WACV} 2021, Waikoloa, HI, USA, January 3-8, 2021}, pages = {2240--2250}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/WACV48630.2021.00229}, doi = {10.1109/WACV48630.2021.00229}, timestamp = {Fri, 18 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wacv/VermaMPMR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wosp/AwathareDRB21, author = {Nitin Awathare and Sourav Das and Vinay J. Ribeiro and Umesh Bellur}, editor = {Johann Bourcier and Zhen Ming (Jack) Jiang and Cor{-}Paul Bezemer and Vittorio Cortellessa and Daniele Di Pompeo and Ana Lucia Varbanescu}, title = {{RENOIR:} Accelerating Blockchain Validation using State Caching}, booktitle = {{ICPE} '21: {ACM/SPEC} International Conference on Performance Engineering, Virtual Event, France, April 19-21, 2021}, pages = {9--20}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3427921.3450247}, doi = {10.1145/3427921.3450247}, timestamp = {Wed, 21 Apr 2021 09:00:35 +0200}, biburl = {https://dblp.org/rec/conf/wosp/AwathareDRB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/HoopsCALMBWXSVC21, author = {Stefan Hoops and Jiangzhuo Chen and Abhijin Adiga and Bryan L. Lewis and Henning S. Mortveit and Hannah Baek and Mandy L. Wilson and Dawen Xie and Samarth Swarup and Srinivasan Venkatramanan and Justin Crow and Elena Diskin and Seth Levine and Helen Tazelaar and Brooke Rossheim and Chris Ghaemmaghami and Rebecca Early and Chris Barrett and Madhav V. Marathe and Carter Price}, title = {High Performance Agent-Based Modeling to Study Realistic Contact Tracing Protocols}, booktitle = {Winter Simulation Conference, {WSC} 2021, Phoenix, AZ, USA, December 12-15, 2021}, pages = {1--12}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/WSC52266.2021.9715382}, doi = {10.1109/WSC52266.2021.9715382}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wsc/HoopsCALMBWXSVC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/HurtAMB21, author = {Benjamin Hurt and Aniruddha Adiga and Madhav V. Marathe and Christopher L. Barrett}, title = {Informing University Covid-19 Decisions Using Simple Compartmental Models}, booktitle = {Winter Simulation Conference, {WSC} 2021, Phoenix, AZ, USA, December 12-15, 2021}, pages = {1--12}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/WSC52266.2021.9715467}, doi = {10.1109/WSC52266.2021.9715467}, timestamp = {Thu, 07 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wsc/HurtAMB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-05300, author = {Julie R. Williamson and Jie Li and Vinoba Vinayagamoorthy and David A. Shamma and Pablo C{\'{e}}sar}, title = {Proxemics and Social Interactions in an Instrumented Virtual Reality Workshop}, journal = {CoRR}, volume = {abs/2101.05300}, year = {2021}, url = {https://arxiv.org/abs/2101.05300}, eprinttype = {arXiv}, eprint = {2101.05300}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-05300.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-05479, author = {Vinay Damodaran and Sharanya Chakravarthy and Akshay Kumar and Anjana Umapathy and Teruko Mitamura and Yuta Nakashima and Noa Garcia and Chenhui Chu}, title = {Understanding the Role of Scene Graphs in Visual Question Answering}, journal = {CoRR}, volume = {abs/2101.05479}, year = {2021}, url = {https://arxiv.org/abs/2101.05479}, eprinttype = {arXiv}, eprint = {2101.05479}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-05479.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-06665, author = {Vinay Saxena and Ankitha Reddy and Jonathan Neudorfer and John L. Gustafson and Sangeeth Nambiar and Rainer Leupers and Farhad Merchant}, title = {Brightening the Optical Flow through Posit Arithmetic}, journal = {CoRR}, volume = {abs/2101.06665}, year = {2021}, url = {https://arxiv.org/abs/2101.06665}, eprinttype = {arXiv}, eprint = {2101.06665}, timestamp = {Fri, 22 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-06665.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-08236, author = {Vinayak Sharma and Jorge {\'{A}}ngel Gonz{\'{a}}lez Ordiano and Ralf Mikut and Umit Cali}, title = {Probabilistic Solar Power Forecasting: Long Short-Term Memory Network vs Simpler Approaches}, journal = {CoRR}, volume = {abs/2101.08236}, year = {2021}, url = {https://arxiv.org/abs/2101.08236}, eprinttype = {arXiv}, eprint = {2101.08236}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-08236.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-09477, author = {Dushyant Behl and Palanivel A. Kodeswaran and Venkatraman Ramakrishna and Sayandeep Sen and Dhinakaran Vinayagamurthy}, title = {Trusted Data Notifications from Private Blockchains}, journal = {CoRR}, volume = {abs/2101.09477}, year = {2021}, url = {https://arxiv.org/abs/2101.09477}, eprinttype = {arXiv}, eprint = {2101.09477}, timestamp = {Sat, 30 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-09477.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-09515, author = {Dheryta Jaisinghani and Vinayak Naik and Rajesh Balan and Archan Misra and Youngki Lee}, title = {Experiences {\&} Challenges with Server-Side WiFi Indoor Localization Using Existing Infrastructure}, journal = {CoRR}, volume = {abs/2101.09515}, year = {2021}, url = {https://arxiv.org/abs/2101.09515}, eprinttype = {arXiv}, eprint = {2101.09515}, timestamp = {Sat, 30 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-09515.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-11211, author = {Vinayak Naik and Anish Arora}, title = {Harvest: {A} Reliable and Energy Efficient Bulk Data Collection Service for Large Scale Wireless Sensor Networks}, journal = {CoRR}, volume = {abs/2101.11211}, year = {2021}, url = {https://arxiv.org/abs/2101.11211}, eprinttype = {arXiv}, eprint = {2101.11211}, timestamp = {Sun, 31 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-11211.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-06393, author = {Ashvala Vinay and Alexander Lerch and Grace Leslie}, title = {Mind the beat: detecting audio onsets from {EEG} recordings of music listening}, journal = {CoRR}, volume = {abs/2102.06393}, year = {2021}, url = {https://arxiv.org/abs/2102.06393}, eprinttype = {arXiv}, eprint = {2102.06393}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-06393.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-07940, author = {Emmanuel Sin and Sreeja Nag and Vinay Ravindra and Alan S. Li and Murat Arcak}, title = {Attitude Trajectory Optimization for Agile Satellites in Autonomous Remote Sensing Constellation}, journal = {CoRR}, volume = {abs/2102.07940}, year = {2021}, url = {https://arxiv.org/abs/2102.07940}, eprinttype = {arXiv}, eprint = {2102.07940}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-07940.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-09003, author = {Vinod K. Kurmi and Venkatesh K. Subramanian and Vinay P. Namboodiri}, title = {Domain Impression: {A} Source Data Free Domain Adaptation Method}, journal = {CoRR}, volume = {abs/2102.09003}, year = {2021}, url = {https://arxiv.org/abs/2102.09003}, eprinttype = {arXiv}, eprint = {2102.09003}, timestamp = {Wed, 24 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-09003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-12898, author = {Soumick Chatterjee and Alessandro Sciarra and Max D{\"{u}}nnwald and Raghava Vinaykanth Mushunuri and Ranadheer Podishetti and Rajatha Nagaraja Rao and Geetha Doddapaneni Gopinath and Steffen Oeltze{-}Jafra and Oliver Speck and Andreas N{\"{u}}rnberger}, title = {ShuffleUNet: Super resolution of diffusion-weighted MRIs using deep learning}, journal = {CoRR}, volume = {abs/2102.12898}, year = {2021}, url = {https://arxiv.org/abs/2102.12898}, eprinttype = {arXiv}, eprint = {2102.12898}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-12898.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-00127, author = {Swaroop Panda and Vinay P. Namboodiri and Shatarupa Thakurta Roy}, title = {Visualizing Music Genres using a Topic Model}, journal = {CoRR}, volume = {abs/2103.00127}, year = {2021}, url = {https://arxiv.org/abs/2103.00127}, eprinttype = {arXiv}, eprint = {2103.00127}, timestamp = {Thu, 04 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-00127.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-08236, author = {Lars V{\"{o}}gtlin and Manuel Drazyk and Vinaychandran Pondenkandath and Michele Alberti and Rolf Ingold}, title = {Generating Synthetic Handwritten Historical Documents With {OCR} Constrained GANs}, journal = {CoRR}, volume = {abs/2103.08236}, year = {2021}, url = {https://arxiv.org/abs/2103.08236}, eprinttype = {arXiv}, eprint = {2103.08236}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-08236.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-11765, author = {Andrea Merlina and Roman Vitenberg and Vinay Setty}, title = {A General and Configurable Framework for Blockchain-based Marketplaces}, journal = {CoRR}, volume = {abs/2103.11765}, year = {2021}, url = {https://arxiv.org/abs/2103.11765}, eprinttype = {arXiv}, eprint = {2103.11765}, timestamp = {Wed, 24 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-11765.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-16216, author = {Aditya Ahuja and Vinay J. Ribeiro and Ranjan Pal}, title = {A Regulatory System for Optimal Legal Transaction Throughput in Cryptocurrency Blockchains}, journal = {CoRR}, volume = {abs/2103.16216}, year = {2021}, url = {https://arxiv.org/abs/2103.16216}, eprinttype = {arXiv}, eprint = {2103.16216}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-16216.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-16609, author = {Daniel J. Wu and Avoy Datta and Vinay U. Prabhu}, title = {Binarized Neural Networks for Resource-Constrained On-Device Gait Identification}, journal = {CoRR}, volume = {abs/2103.16609}, year = {2021}, url = {https://arxiv.org/abs/2103.16609}, eprinttype = {arXiv}, eprint = {2103.16609}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-16609.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-00842, author = {A. Vinay and Aviral Joshi and Hardik Mahipal Surana and Harsh Garg and K. N. Balasubramanya Murthy and S. Natarajan}, title = {Unconstrained Face Recognition using {ASURF} and Cloud-Forest Classifier optimized with {VLAD}}, journal = {CoRR}, volume = {abs/2104.00842}, year = {2021}, url = {https://arxiv.org/abs/2104.00842}, eprinttype = {arXiv}, eprint = {2104.00842}, timestamp = {Mon, 12 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-00842.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-14538, author = {Aditya Balu and Sergio Botelho and Biswajit Khara and Vinay Rao and Chinmay Hegde and Soumik Sarkar and Santi Adavani and Adarsh Krishnamurthy and Baskar Ganapathysubramanian}, title = {Distributed Multigrid Neural Solvers on Megavoxel Domains}, journal = {CoRR}, volume = {abs/2104.14538}, year = {2021}, url = {https://arxiv.org/abs/2104.14538}, eprinttype = {arXiv}, eprint = {2104.14538}, timestamp = {Tue, 04 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-14538.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-14914, author = {Siddhant Arora and Vinayak Gupta and Garima Gaur and Srikanta Bedathur}, title = {{BERT} Meets Relational {DB:} Contextual Representations of Relational Databases}, journal = {CoRR}, volume = {abs/2104.14914}, year = {2021}, url = {https://arxiv.org/abs/2104.14914}, eprinttype = {arXiv}, eprint = {2104.14914}, timestamp = {Tue, 04 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-14914.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-01347, author = {Vikas Hassija and Vinay Chamola and Adhar Agrawal and Adit Goyal and Nguyen Cong Luong and Dusit Niyato and F. Richard Yu and Mohsen Guizani}, title = {Fast, Reliable, and Secure Drone Communication: {A} Comprehensive Survey}, journal = {CoRR}, volume = {abs/2105.01347}, year = {2021}, url = {https://arxiv.org/abs/2105.01347}, eprinttype = {arXiv}, eprint = {2105.01347}, timestamp = {Wed, 12 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-01347.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-04387, author = {Jinjie Ni and Tom Young and Vlad Pandelea and Fuzhao Xue and Vinay Adiga and Erik Cambria}, title = {Recent Advances in Deep Learning Based Dialogue Systems: {A} Systematic Survey}, journal = {CoRR}, volume = {abs/2105.04387}, year = {2021}, url = {https://arxiv.org/abs/2105.04387}, eprinttype = {arXiv}, eprint = {2105.04387}, timestamp = {Mon, 31 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-04387.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-07741, author = {Michael Murray and Vinayak Abrol and Jared Tanner}, title = {Activation function design for deep networks: linearity and effective initialisation}, journal = {CoRR}, volume = {abs/2105.07741}, year = {2021}, url = {https://arxiv.org/abs/2105.07741}, eprinttype = {arXiv}, eprint = {2105.07741}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-07741.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.