default search action
Search dblp for Publications
export results for "Zhiru Zhang"
@article{DBLP:journals/cal/GoldenIHZZB24, author = {Courtney Golden and Dan Ilan and Caroline Huang and Niansong Zhang and Zhiru Zhang and Christopher Batten}, title = {Supporting a Virtual Vector Instruction Set on a Commercial Compute-in-SRAM Accelerator}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {23}, number = {1}, pages = {29--32}, year = {2024}, url = {https://doi.org/10.1109/LCA.2023.3341389}, doi = {10.1109/LCA.2023.3341389}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/GoldenIHZZB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/ShaoSZSXY24, author = {Yichang Shao and Xiaomeng Shi and Yuhan Zhang and Nirajan Shiwakoti and Yueru Xu and Zhirui Ye}, title = {Injury severity prediction and exploration of behavior-cause relationships in automotive crashes using natural language processing and extreme gradient boosting}, journal = {Eng. Appl. Artif. Intell.}, volume = {133}, pages = {108542}, year = {2024}, url = {https://doi.org/10.1016/j.engappai.2024.108542}, doi = {10.1016/J.ENGAPPAI.2024.108542}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/ShaoSZSXY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/YinLWLZDZZGLLGHXXZ24, author = {Minyue Yin and Jiaxi Lin and Yu Wang and Yuanjun Liu and Rufa Zhang and Wenbin Duan and Zhirun Zhou and Shiqi Zhu and Jingwen Gao and Lu Liu and Xiaolin Liu and Chenqi Gu and Zhou Huang and Xiaodan Xu and Chunfang Xu and Jinzhou Zhu}, title = {Development and validation of a multimodal model in predicting severe acute pancreatitis based on radiomics and deep learning}, journal = {Int. J. Medical Informatics}, volume = {184}, pages = {105341}, year = {2024}, url = {https://doi.org/10.1016/j.ijmedinf.2024.105341}, doi = {10.1016/J.IJMEDINF.2024.105341}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmi/YinLWLZDZZGLLGHXXZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfi/ZhouZPC24, author = {Lulu Zhou and Yajian Zhang and Chen Peng and Zhiru Cao}, title = {Dissipativity-based control for networked control systems under bilateral Round-Robin protocols and denial-of-service attacks}, journal = {J. Frankl. Inst.}, volume = {361}, number = {4}, pages = {106652}, year = {2024}, url = {https://doi.org/10.1016/j.jfranklin.2024.106652}, doi = {10.1016/J.JFRANKLIN.2024.106652}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfi/ZhouZPC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/HuCWWCSZ24, author = {Lin Hu and Peirui Cheng and Yuelei Wang and Zhirui Wang and Kaiqiang Chen and Xian Sun and Daobing Zhang}, title = {{FS-DCL:} Distributed Collaborative Learning for Few-Shot Remote Sensing Image Classification}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {21}, pages = {1--5}, year = {2024}, url = {https://doi.org/10.1109/LGRS.2023.3332255}, doi = {10.1109/LGRS.2023.3332255}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/lgrs/HuCWWCSZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mlc/SunWZLJW24, author = {Chen Sun and Weiming Wu and Zirui Zhang and Zhirui Li and Bing Ji and Cong Wang}, title = {Time series clustering of dynamical systems via deterministic learning}, journal = {Int. J. Mach. Learn. Cybern.}, volume = {15}, number = {7}, pages = {2761--2779}, year = {2024}, url = {https://doi.org/10.1007/s13042-023-02062-7}, doi = {10.1007/S13042-023-02062-7}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mlc/SunWZLJW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmpl/ChenZXZDZ24, author = {Hongzheng Chen and Niansong Zhang and Shaojie Xiang and Zhichen Zeng and Mengjia Dai and Zhiru Zhang}, title = {Allo: {A} Programming Model for Composable Accelerator Design}, journal = {Proc. {ACM} Program. Lang.}, volume = {8}, number = {{PLDI}}, pages = {593--620}, year = {2024}, url = {https://doi.org/10.1145/3656401}, doi = {10.1145/3656401}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmpl/ChenZXZDZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmpl/LiuZDBRZ24, author = {Jie Liu and Zhongyuan Zhao and Zijian Ding and Benjamin Brock and Hongbo Rong and Zhiru Zhang}, title = {UniSparse: An Intermediate Language for General Sparse Format Customization}, journal = {Proc. {ACM} Program. Lang.}, volume = {8}, number = {{OOPSLA1}}, pages = {137--165}, year = {2024}, url = {https://doi.org/10.1145/3649816}, doi = {10.1145/3649816}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmpl/LiuZDBRZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/patterns/YanCJCDDHZGCYW24, author = {Bingjie Yan and Danmin Cao and Xinlong Jiang and Yiqiang Chen and Weiwei Dai and Fan Dong and Wuliang Huang and Teng Zhang and Chenlong Gao and Qian Chen and Zhen Yan and Zhirui Wang}, title = {FedEYE: {A} scalable and flexible end-to-end federated learning platform for ophthalmology}, journal = {Patterns}, volume = {5}, number = {2}, pages = {100928}, year = {2024}, url = {https://doi.org/10.1016/j.patter.2024.100928}, doi = {10.1016/J.PATTER.2024.100928}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/patterns/YanCJCDDHZGCYW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tacl/YangHYZLY0YL24, author = {Cheng Yang and Guoping Huang and Mo Yu and Zhirui Zhang and Siheng Li and Mingming Yang and Shuming Shi and Yujiu Yang and Lemao Liu}, title = {An Energy-based Model for Word-level AutoCompletion in Computer-aided Translation}, journal = {Trans. Assoc. Comput. Linguistics}, volume = {12}, pages = {137--156}, year = {2024}, url = {https://doi.org/10.1162/tacl\_a\_00637}, doi = {10.1162/TACL\_A\_00637}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tacl/YangHYZLY0YL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/DaiZDLLX24, author = {Yuhan Dai and Zhirui Zhang and Yichao Du and Shengcai Liu and Lemao Liu and Tong Xu}, title = {Datastore Distillation for Nearest Neighbor Machine Translation}, journal = {{IEEE} {ACM} Trans. Audio Speech Lang. Process.}, volume = {32}, pages = {807--817}, year = {2024}, url = {https://doi.org/10.1109/TASLP.2023.3337633}, doi = {10.1109/TASLP.2023.3337633}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taslp/DaiZDLLX24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/WangZZHWNCCZWWS24, author = {Yuelei Wang and Ting Zhang and Liangjin Zhao and Lin Hu and Zhechao Wang and Ziqing Niu and Peirui Cheng and Kaiqiang Chen and Xuan Zeng and Zhirui Wang and Hongqi Wang and Xian Sun}, title = {RingMo-Lite: {A} Remote Sensing Lightweight Network With CNN-Transformer Hybrid Framework}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {62}, pages = {1--20}, year = {2024}, url = {https://doi.org/10.1109/TGRS.2024.3360447}, doi = {10.1109/TGRS.2024.3360447}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/WangZZHWNCCZWWS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tifs/ZengXGHZXZ24, author = {Zhirui Zeng and Tao Xiang and Shangwei Guo and Jialing He and Qiao Zhang and Guowen Xu and Tianwei Zhang}, title = {Contrast-Then-Approximate: Analyzing Keyword Leakage of Generative Language Models}, journal = {{IEEE} Trans. Inf. Forensics Secur.}, volume = {19}, pages = {5166--5180}, year = {2024}, url = {https://doi.org/10.1109/TIFS.2024.3392535}, doi = {10.1109/TIFS.2024.3392535}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tifs/ZengXGHZXZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tiv/SunLXLGZW24, author = {Zhirui Sun and Boshu Lei and Peijia Xie and Fugang Liu and Junjie Gao and Ying Zhang and Jiankun Wang}, title = {Multi-Risk-RRT: An Efficient Motion Planning Algorithm for Robotic Autonomous Luggage Trolley Collection at Airports}, journal = {{IEEE} Trans. Intell. Veh.}, volume = {9}, number = {2}, pages = {3450--3463}, year = {2024}, url = {https://doi.org/10.1109/TIV.2023.3349171}, doi = {10.1109/TIV.2023.3349171}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tiv/SunLXLGZW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnse/ZhangGHZL24, author = {Wenbo Zhang and Zhiru Guo and Guangjie Han and Hongbo Zhu and Qi Liu}, title = {{DPSP:} {A} Dynamic Pseudonym Swap Program Based Location Privacy Protection Algorithm for Internet of Vehicles}, journal = {{IEEE} Trans. Netw. Sci. Eng.}, volume = {11}, number = {5}, pages = {4525--4535}, year = {2024}, url = {https://doi.org/10.1109/TNSE.2024.3392709}, doi = {10.1109/TNSE.2024.3392709}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnse/ZhangGHZL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/HuangZGDCH24, author = {Xu Huang and Zhirui Zhang and Xiang Geng and Yichao Du and Jiajun Chen and Shujian Huang}, editor = {Lun{-}Wei Ku and Andre Martins and Vivek Srikumar}, title = {Lost in the Source Language: How Large Language Models Evaluate the Quality of Machine Translation}, booktitle = {Findings of the Association for Computational Linguistics, {ACL} 2024, Bangkok, Thailand and virtual meeting, August 11-16, 2024}, pages = {3546--3562}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://doi.org/10.18653/v1/2024.findings-acl.211}, doi = {10.18653/V1/2024.FINDINGS-ACL.211}, timestamp = {Tue, 24 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/HuangZGDCH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/ChenYZZZ024, author = {Hongzheng Chen and Cody Hao Yu and Shuai Zheng and Zhen Zhang and Zhiru Zhang and Yida Wang}, editor = {Rajiv Gupta and Nael B. Abu{-}Ghazaleh and Madan Musuvathi and Dan Tsafrir}, title = {Slapo: {A} Schedule Language for Progressive Optimization of Large Deep Learning Model Training}, booktitle = {Proceedings of the 29th {ACM} International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 2, {ASPLOS} 2024, La Jolla, CA, USA, 27 April 2024- 1 May 2024}, pages = {1095--1111}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3620665.3640399}, doi = {10.1145/3620665.3640399}, timestamp = {Fri, 26 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/ChenYZZZ024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WangYGZHYXW24, author = {Liao Wang and Kaixin Yao and Chengcheng Guo and Zhirui Zhang and Qiang Hu and Jingyi Yu and Lan Xu and Minye Wu}, title = {VideoRF: Rendering Dynamic Radiance Fields as 2D Feature Video Streams}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2024, Seattle, WA, USA, June 16-22, 2024}, pages = {470--481}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CVPR52733.2024.00052}, doi = {10.1109/CVPR52733.2024.00052}, timestamp = {Wed, 02 Oct 2024 09:45:16 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/WangYGZHYXW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasfaa/HuCOYWZWCWL24, author = {Yulan Hu and Ge Chen and Sheng Ouyang and Zhirui Yang and Junchen Wan and Fuzheng Zhang and Zhongyuan Wang and Zhao Cao and Shangquan Wu and Yong Liu}, editor = {Makoto Onizuka and Jae{-}Gil Lee and Yongxin Tong and Chuan Xiao and Yoshiharu Ishikawa and Sihem Amer{-}Yahia and H. V. Jagadish and Kejing Lu}, title = {Advancing Latent Representation Ranking for Masked Graph Autoencoder}, booktitle = {Database Systems for Advanced Applications - 29th International Conference, {DASFAA} 2024, Gifu, Japan, July 2-5, 2024, Proceedings, Part {VI}}, series = {Lecture Notes in Computer Science}, volume = {14855}, pages = {385--394}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-981-97-5572-1\_27}, doi = {10.1007/978-981-97-5572-1\_27}, timestamp = {Wed, 11 Sep 2024 11:32:01 +0200}, biburl = {https://dblp.org/rec/conf/dasfaa/HuCOYWZWCWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/ChenZDXYZCZ24, author = {Hongzheng Chen and Jiahao Zhang and Yixiao Du and Shaojie Xiang and Zichao Yue and Niansong Zhang and Yaohui Cai and Zhiru Zhang}, editor = {Zhiru Zhang and Andrew Putnam}, title = {A Comprehensive Evaluation of FPGA-Based Spatial Acceleration of LLMs}, booktitle = {Proceedings of the 2024 {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, {FPGA} 2024, Monterey, CA, USA, March 3-5, 2024}, pages = {185}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3626202.3637600}, doi = {10.1145/3626202.3637600}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpga/ChenZDXYZCZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/PouchetTZCP0Z24, author = {Louis{-}No{\"{e}}l Pouchet and Emily Tucker and Niansong Zhang and Hongzheng Chen and Debjit Pal and Gabriel Rodr{\'{\i}}guez and Zhiru Zhang}, editor = {Zhiru Zhang and Andrew Putnam}, title = {Formal Verification of Source-to-Source Transformations for {HLS}}, booktitle = {Proceedings of the 2024 {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, {FPGA} 2024, Monterey, CA, USA, March 3-5, 2024}, pages = {97--107}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3626202.3637563}, doi = {10.1145/3626202.3637563}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpga/PouchetTZCP0Z24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/LiLKYAZSKD24, author = {Yueying Li and Nikita Lazarev and David Koufaty and Tenny Yin and Andy Anderson and Zhiru Zhang and G. Edward Suh and Kostis Kaffes and Christina Delimitrou}, title = {LibPreemptible: Enabling Fast, Adaptive, and Hardware-Assisted User-Space Scheduling}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024}, pages = {922--936}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/HPCA57654.2024.00075}, doi = {10.1109/HPCA57654.2024.00075}, timestamp = {Wed, 17 Apr 2024 17:17:11 +0200}, biburl = {https://dblp.org/rec/conf/hpca/LiLKYAZSKD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChenZGK24, author = {Minheng Chen and Zhirun Zhang and Shuheng Gu and Youyong Kong}, title = {Embedded Feature Similarity Optimization with Specific Parameter Initialization for 2D/3D Medical Image Registration}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2024, Seoul, Republic of Korea, April 14-19, 2024}, pages = {1521--1525}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSP48485.2024.10446096}, doi = {10.1109/ICASSP48485.2024.10446096}, timestamp = {Mon, 05 Aug 2024 15:26:37 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ChenZGK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/DuZYHZ0XC24, author = {Yichao Du and Zhirui Zhang and Linan Yue and Xu Huang and Yuqing Zhang and Tong Xu and Linli Xu and Enhong Chen}, title = {Communication-Efficient Personalized Federated Learning for Speech-to-Text Tasks}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2024, Seoul, Republic of Korea, April 14-19, 2024}, pages = {10001--10005}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSP48485.2024.10447662}, doi = {10.1109/ICASSP48485.2024.10447662}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/DuZYHZ0XC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/GaoSZXPGWXW24, author = {Huachen Gao and Shihe Shen and Zhe Zhang and Kaiqiang Xiong and Rui Peng and Zhirui Gao and Qi Wang and Yugui Xie and Ronggang Wang}, title = {FDC-NeRF: Learning Pose-Free Neural Radiance Fields with Flow-Depth Consistency}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2024, Seoul, Republic of Korea, April 14-19, 2024}, pages = {3615--3619}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSP48485.2024.10446550}, doi = {10.1109/ICASSP48485.2024.10446550}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/GaoSZXPGWXW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/HuOYZWZWL24, author = {Yulan Hu and Sheng Ouyang and Zhirui Yang and Yi Zhao and Junchen Wan and Fuzheng Zhang and Zhongyuan Wang and Yong Liu}, title = {{GFMAE:} Self-Supervised GNN-Free Masked Autoencoders}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2024, Seoul, Republic of Korea, April 14-19, 2024}, pages = {7500--7504}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSP48485.2024.10447038}, doi = {10.1109/ICASSP48485.2024.10447038}, timestamp = {Mon, 30 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/HuOYZWZWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/DengYZ24, author = {Chenhui Deng and Zichao Yue and Zhiru Zhang}, title = {Polynormer: Polynomial-Expressive Graph Transformer in Linear Time}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=hmv1LpNfXa}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/DengYZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/DotzelKDAZ24, author = {Jordan Dotzel and Bahaa Kotb and James Dotzel and Mohamed S. Abdelfattah and Zhiru Zhang}, title = {Exploring the Limits of Semantic Image Compression at Micro-bits per Pixel}, booktitle = {The Second Tiny Papers Track at {ICLR} 2024, Tiny Papers @ {ICLR} 2024, Vienna, Austria, May 11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=sfwtoH5GdD}, timestamp = {Fri, 26 Jul 2024 10:05:23 +0200}, biburl = {https://dblp.org/rec/conf/iclr/DotzelKDAZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/Dotzel0KPW0AZ24, author = {Jordan Dotzel and Yuzong Chen and Bahaa Kotb and Sushma Prasad and Gang Wu and Sheng Li and Mohamed S. Abdelfattah and Zhiru Zhang}, title = {Learning from Students: Applying t-Distributions to Explore Accurate and Efficient Formats for LLMs}, booktitle = {Forty-first International Conference on Machine Learning, {ICML} 2024, Vienna, Austria, July 21-27, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=iJlPJsTw2B}, timestamp = {Mon, 02 Sep 2024 16:45:29 +0200}, biburl = {https://dblp.org/rec/conf/icml/Dotzel0KPW0AZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/LiuLYZY24, author = {Mingju Liu and Yingjie Li and Jiaqi Yin and Zhiru Zhang and Cunxi Yu}, title = {Differentiable Combinatorial Scheduling at Scale}, booktitle = {Forty-first International Conference on Machine Learning, {ICML} 2024, Vienna, Austria, July 21-27, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=3Pq6uI1MTE}, timestamp = {Mon, 02 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/LiuLYZY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/ChenZGGK24, author = {Minheng Chen and Zhirun Zhang and Shuheng Gu and Zhangyang Ge and Youyong Kong}, title = {Fully Differentiable Correlation-Driven 2D/3D Registration for X-Ray to {CT} Image Fusion}, booktitle = {{IEEE} International Symposium on Biomedical Imaging, {ISBI} 2024, Athens, Greece, May 27-30, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISBI56570.2024.10635662}, doi = {10.1109/ISBI56570.2024.10635662}, timestamp = {Fri, 06 Sep 2024 21:02:06 +0200}, biburl = {https://dblp.org/rec/conf/isbi/ChenZGGK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/JungRGDPLKCXPZYVMSLZBOR24, author = {Dai Cheol Jung and Max Ruttenberg and Paul Gao and Scott Davidson and Daniel Petrisko and Kangli Li and Aditya K. Kamath and Lin Cheng and Shaolin Xie and Peitian Pan and Zhongyuan Zhao and Zichao Yue and Bandhav Veluri and Sripathi Muralitharan and Adrian Sampson and Andrew Lumsdaine and Zhiru Zhang and Christopher Batten and Mark Oskin and Dustin Richmond and Michael Bedford Taylor}, title = {Scalable, Programmable and Dense: The HammerBlade Open-Source {RISC-V} Manycore}, booktitle = {51st {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2024, Buenos Aires, Argentina, June 29 - July 3, 2024}, pages = {770--784}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISCA59077.2024.00061}, doi = {10.1109/ISCA59077.2024.00061}, timestamp = {Fri, 16 Aug 2024 20:48:15 +0200}, biburl = {https://dblp.org/rec/conf/isca/JungRGDPLKCXPZYVMSLZBOR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/osdi/LazarevGTACZD24, author = {Nikita Lazarev and Varun Gohil and James Tsai and Andy Anderson and Bhushan Chitlur and Zhiru Zhang and Christina Delimitrou}, editor = {Ada Gavrilovska and Douglas B. Terry}, title = {Sabre: Hardware-Accelerated Snapshot Compression for Serverless MicroVMs}, booktitle = {18th {USENIX} Symposium on Operating Systems Design and Implementation, {OSDI} 2024, Santa Clara, CA, USA, July 10-12, 2024}, pages = {1--18}, publisher = {{USENIX} Association}, year = {2024}, url = {https://www.usenix.org/conference/osdi24/presentation/lazarev}, timestamp = {Tue, 16 Jul 2024 16:41:59 +0200}, biburl = {https://dblp.org/rec/conf/osdi/LazarevGTACZD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/GuoSZCSZW24, author = {Wenchen Guo and Guoyu Sun and Wenbo Zhao and Zhirui Chen and Menghan Shi and Yu Zhang and Chuqiao Wan}, title = {Flowing with Zen: Exploring Empowering the Intangible Cultural Heritage via Immersive Mixed Reality Spaces}, booktitle = {{IEEE} Conference on Virtual Reality and 3D User Interfaces Abstracts and Workshops, {VR} Workshops 2024, Orlando, FL, USA, March 16-21, 2024}, pages = {705--706}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/VRW62533.2024.00149}, doi = {10.1109/VRW62533.2024.00149}, timestamp = {Tue, 04 Jun 2024 16:12:22 +0200}, biburl = {https://dblp.org/rec/conf/vr/GuoSZCSZW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fpga/2024, editor = {Zhiru Zhang and Andrew Putnam}, title = {Proceedings of the 2024 {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, {FPGA} 2024, Monterey, CA, USA, March 3-5, 2024}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3626202}, doi = {10.1145/3626202}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpga/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-06568, author = {Xu Huang and Zhirui Zhang and Xiang Geng and Yichao Du and Jiajun Chen and Shujian Huang}, title = {Lost in the Source Language: How Large Language Models Evaluate the Quality of Machine Translation}, journal = {CoRR}, volume = {abs/2401.06568}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.06568}, doi = {10.48550/ARXIV.2401.06568}, eprinttype = {arXiv}, eprint = {2401.06568}, timestamp = {Thu, 25 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-06568.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-10070, author = {Yichao Du and Zhirui Zhang and Linan Yue and Xu Huang and Yuqing Zhang and Tong Xu and Linli Xu and Enhong Chen}, title = {Communication-Efficient Personalized Federated Learning for Speech-to-Text Tasks}, journal = {CoRR}, volume = {abs/2401.10070}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.10070}, doi = {10.48550/ARXIV.2401.10070}, eprinttype = {arXiv}, eprint = {2401.10070}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-10070.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-17544, author = {Dingyi Dai and Yichi Zhang and Jiahao Zhang and Zhanqiu Hu and Yaohui Cai and Qi Sun and Zhiru Zhang}, title = {Trainable Fixed-Point Quantization for Deep Learning Acceleration on FPGAs}, journal = {CoRR}, volume = {abs/2401.17544}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.17544}, doi = {10.48550/ARXIV.2401.17544}, eprinttype = {arXiv}, eprint = {2401.17544}, timestamp = {Wed, 07 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-17544.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-02498, author = {Minheng Chen and Zhirun Zhang and Shuheng Gu and Zhangyang Ge and Youyong Kong}, title = {Fully Differentiable Correlation-driven 2D/3D Registration for X-ray to {CT} Image Fusion}, journal = {CoRR}, volume = {abs/2402.02498}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.02498}, doi = {10.48550/ARXIV.2402.02498}, eprinttype = {arXiv}, eprint = {2402.02498}, timestamp = {Thu, 15 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-02498.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-05642, author = {Minheng Chen and Tonglong Li and Zhirun Zhang and Youyong Kong}, title = {An Optimization-based Baseline for Rigid 2D/3D Registration Applied to Spine Surgical Navigation Using {CMA-ES}}, journal = {CoRR}, volume = {abs/2402.05642}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.05642}, doi = {10.48550/ARXIV.2402.05642}, eprinttype = {arXiv}, eprint = {2402.05642}, timestamp = {Thu, 15 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-05642.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-08653, author = {Wuxinlin Cheng and Chenhui Deng and Ali Aghdaei and Zhiru Zhang and Zhuo Feng}, title = {{SAGMAN:} Stability Analysis of Graph Neural Networks on the Manifolds}, journal = {CoRR}, volume = {abs/2402.08653}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.08653}, doi = {10.48550/ARXIV.2402.08653}, eprinttype = {arXiv}, eprint = {2402.08653}, timestamp = {Mon, 19 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-08653.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-13536, author = {Jordan Dotzel and Bahaa Kotb and James Dotzel and Mohamed S. Abdelfattah and Zhiru Zhang}, title = {Exploring the Limits of Semantic Image Compression at Micro-bits per Pixel}, journal = {CoRR}, volume = {abs/2402.13536}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.13536}, doi = {10.48550/ARXIV.2402.13536}, eprinttype = {arXiv}, eprint = {2402.13536}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-13536.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-01232, author = {Chenhui Deng and Zichao Yue and Zhiru Zhang}, title = {Polynormer: Polynomial-Expressive Graph Transformer in Linear Time}, journal = {CoRR}, volume = {abs/2403.01232}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.01232}, doi = {10.48550/ARXIV.2403.01232}, eprinttype = {arXiv}, eprint = {2403.01232}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-01232.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-01317, author = {Chenhui Deng and Zichao Yue and Cunxi Yu and Gokce Sarar and Ryan Carey and Rajeev Jain and Zhiru Zhang}, title = {Less is More: Hop-Wise Graph Attention for Scalable and Generalizable Learning on Circuits}, journal = {CoRR}, volume = {abs/2403.01317}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.01317}, doi = {10.48550/ARXIV.2403.01317}, eprinttype = {arXiv}, eprint = {2403.01317}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-01317.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-05802, author = {Jie Liu and Zhongyuan Zhao and Zijian Ding and Benjamin Brock and Hongbo Rong and Zhiru Zhang}, title = {UniSparse: An Intermediate Language for General Sparse Format Customization}, journal = {CoRR}, volume = {abs/2403.05802}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.05802}, doi = {10.48550/ARXIV.2403.05802}, eprinttype = {arXiv}, eprint = {2403.05802}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-05802.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-08475, author = {Ruijie Wang and Zhiruo Zhang and Luca Rossetto and Florian Ruosch and Abraham Bernstein}, title = {NLQxform-UI: {A} Natural Language Interface for Querying {DBLP} Interactively}, journal = {CoRR}, volume = {abs/2403.08475}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.08475}, doi = {10.48550/ARXIV.2403.08475}, eprinttype = {arXiv}, eprint = {2403.08475}, timestamp = {Fri, 05 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-08475.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-14734, author = {Qiushi Sun and Zhirui Chen and Fangzhi Xu and Kanzhi Cheng and Chang Ma and Zhangyue Yin and Jianing Wang and Chengcheng Han and Renyu Zhu and Shuai Yuan and Qipeng Guo and Xipeng Qiu and Pengcheng Yin and Xiaoli Li and Fei Yuan and Lingpeng Kong and Xiang Li and Zhiyong Wu}, title = {A Survey of Neural Code Intelligence: Paradigms, Advances and Beyond}, journal = {CoRR}, volume = {abs/2403.14734}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.14734}, doi = {10.48550/ARXIV.2403.14734}, eprinttype = {arXiv}, eprint = {2403.14734}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-14734.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-04815, author = {Hongzheng Chen and Niansong Zhang and Shaojie Xiang and Zhichen Zeng and Mengjia Dai and Zhiru Zhang}, title = {Allo: {A} Programming Model for Composable Accelerator Design}, journal = {CoRR}, volume = {abs/2404.04815}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.04815}, doi = {10.48550/ARXIV.2404.04815}, eprinttype = {arXiv}, eprint = {2404.04815}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-04815.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-04900, author = {Jordan Dotzel and Yash Akhauri and Ahmed S. AbouElhamayed and Carly Jiang and Mohamed S. Abdelfattah and Zhiru Zhang}, title = {Radial Networks: Dynamic Layer Routing for High-Performance Large Language Models}, journal = {CoRR}, volume = {abs/2404.04900}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.04900}, doi = {10.48550/ARXIV.2404.04900}, eprinttype = {arXiv}, eprint = {2404.04900}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-04900.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-03103, author = {Jordan Dotzel and Yuzong Chen and Bahaa Kotb and Sushma Prasad and Gang Wu and Sheng Li and Mohamed S. Abdelfattah and Zhiru Zhang}, title = {Learning from Students: Applying t-Distributions to Explore Accurate and Efficient Formats for LLMs}, journal = {CoRR}, volume = {abs/2405.03103}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.03103}, doi = {10.48550/ARXIV.2405.03103}, eprinttype = {arXiv}, eprint = {2405.03103}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-03103.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-05514, author = {Zhirui Sun and Zhe Zhang and Jieting Zhao and Hanjing Ye and Jiankun Wang}, title = {{HPPS:} {A} Hierarchical Progressive Perception System for Luggage Trolley Detection and Localization at Airports}, journal = {CoRR}, volume = {abs/2405.05514}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.05514}, doi = {10.48550/ARXIV.2405.05514}, eprinttype = {arXiv}, eprint = {2405.05514}, timestamp = {Wed, 19 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-05514.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-18968, author = {Zhangyang Gao and Jue Wang and Cheng Tan and Lirong Wu and Yufei Huang and Siyuan Li and Zhirui Ye and Stan Z. Li}, title = {UniIF: Unified Molecule Inverse Folding}, journal = {CoRR}, volume = {abs/2405.18968}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.18968}, doi = {10.48550/ARXIV.2405.18968}, eprinttype = {arXiv}, eprint = {2405.18968}, timestamp = {Fri, 21 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-18968.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-06593, author = {Mingju Liu and Yingjie Li and Jiaqi Yin and Zhiru Zhang and Cunxi Yu}, title = {Differentiable Combinatorial Scheduling at Scale}, journal = {CoRR}, volume = {abs/2406.06593}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.06593}, doi = {10.48550/ARXIV.2406.06593}, eprinttype = {arXiv}, eprint = {2406.06593}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-06593.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-16635, author = {Yash Akhauri and Ahmed F. AbouElhamayed and Jordan Dotzel and Zhiru Zhang and Alexander M. Rush and Safeen Huda and Mohamed S. Abdelfattah}, title = {ShadowLLM: Predictor-based Contextual Sparsity for Large Language Models}, journal = {CoRR}, volume = {abs/2406.16635}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.16635}, doi = {10.48550/ARXIV.2406.16635}, eprinttype = {arXiv}, eprint = {2406.16635}, timestamp = {Tue, 16 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-16635.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-20083, author = {Cheng Yang and Guoping Huang and Mo Yu and Zhirui Zhang and Siheng Li and Mingming Yang and Shuming Shi and Yujiu Yang and Lemao Liu}, title = {An Energy-based Model for Word-level AutoCompletion in Computer-aided Translation}, journal = {CoRR}, volume = {abs/2407.20083}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.20083}, doi = {10.48550/ARXIV.2407.20083}, eprinttype = {arXiv}, eprint = {2407.20083}, timestamp = {Wed, 21 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-20083.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/WuLCZSXZHKS23, author = {Shunyao Wu and Zhiruo Li and Yuzhu Chen and Mingqian Zhang and Yangyang Sun and Jieqi Xing and Fengyang Zhao and Shi Huang and Rob Knight and Xiaoquan Su}, title = {Host-Variable-Embedding Augmented Microbiome-Based Simultaneous Detection of Multiple Diseases by Deep Learning}, journal = {Adv. Intell. Syst.}, volume = {5}, number = {12}, year = {2023}, url = {https://doi.org/10.1002/aisy.202300342}, doi = {10.1002/AISY.202300342}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aisy/WuLCZSXZHKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/ZhaoCCLZ23, author = {Zhiruo Zhao and Lei Cao and Xiliang Chen and Jun Lai and Legui Zhang}, title = {Improvement of {MADRL} Equilibrium Based on Pareto Optimization}, journal = {Comput. J.}, volume = {66}, number = {7}, pages = {1573--1585}, year = {2023}, url = {https://doi.org/10.1093/comjnl/bxac027}, doi = {10.1093/COMJNL/BXAC027}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/ZhaoCCLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/YuZZSX23, author = {Pingsheng Yu and Zhirun Zhang and Cheng Zhou and Liangbi Su and Jun Xu}, title = {White and tunable light emission of Ho\({}^{\mbox{3+}}\) and Pr\({}^{\mbox{3+}}\) ions co-doped phosphate glasses}, journal = {Displays}, volume = {78}, pages = {102443}, year = {2023}, url = {https://doi.org/10.1016/j.displa.2023.102443}, doi = {10.1016/J.DISPLA.2023.102443}, timestamp = {Sun, 25 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/YuZZSX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcrowdsci/ChenHJZWYWCXLL23, author = {Yiqiang Chen and Wuliang Huang and Xinlong Jiang and Teng Zhang and Yi Wang and Bingjie Yan and Zhirui Wang and Qian Chen and Yunbing Xing and Dong Li and Guodong Long}, title = {UbiMeta: {A} Ubiquitous Operating System Model for Metaverse}, journal = {Int. J. Crowd Sci.}, volume = {7}, number = {4}, pages = {180--189}, year = {2023}, url = {https://doi.org/10.26599/ijcs.2023.9100028}, doi = {10.26599/IJCS.2023.9100028}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcrowdsci/ChenHJZWYWCXLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijict/ZhangLY23, author = {Ziqiang Zhang and Zhiru Li and Liang Yan}, title = {An optimised {LSTM} algorithm for short-term load forecasting}, journal = {Int. J. Inf. Commun. Technol.}, volume = {22}, number = {3}, pages = {224--239}, year = {2023}, url = {https://doi.org/10.1504/IJICT.2023.10054796}, doi = {10.1504/IJICT.2023.10054796}, timestamp = {Sun, 30 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijict/ZhangLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/Venkataramanaiah23, author = {Shreyas Kolala Venkataramanaiah and Jian Meng and Han{-}Sok Suh and Injune Yeo and Jyotishman Saikia and Sai Kiran Cherupally and Yichi Zhang and Zhiru Zhang and Jae{-}Sun Seo}, title = {A 28-nm 8-bit Floating-Point Tensor Core-Based Programmable {CNN} Training Processor With Dynamic Structured Sparsity}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {7}, pages = {1885--1897}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2023.3269148}, doi = {10.1109/JSSC.2023.3269148}, timestamp = {Wed, 23 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/Venkataramanaiah23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvca/GuoHCZSZL23, author = {Wenchen Guo and Yiyuan Huang and Zhirui Chen and Zixun Zhang and Guoyu Sun and Qingxiang Zeng and Xiao Li}, title = {The "rebirth" of traditional musical instrument: An interactive installation based on augmented reality and somatosensory technology to empower the exhibition of chimes}, journal = {Comput. Animat. Virtual Worlds}, volume = {34}, number = {3-4}, year = {2023}, url = {https://doi.org/10.1002/cav.2171}, doi = {10.1002/CAV.2171}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvca/GuoHCZSZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvca/GuoLZCCW23, author = {Wenchen Guo and Shucheng Li and Zixun Zhang and Zhirui Chen and KuoHsiang Chang and Su Wang}, title = {A "magic world" for children: Design and development of a serious game to improve spatial ability}, journal = {Comput. Animat. Virtual Worlds}, volume = {34}, number = {3-4}, year = {2023}, url = {https://doi.org/10.1002/cav.2181}, doi = {10.1002/CAV.2181}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvca/GuoLZCCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/KangWZZYSF23, author = {Yuzhuo Kang and Zhirui Wang and Haoyu Zuo and Yidan Zhang and Zhujun Yang and Xian Sun and Kun Fu}, title = {ST-Net: Scattering Topology Network for Aircraft Classification in High-Resolution {SAR} Images}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {61}, pages = {1--17}, year = {2023}, url = {https://doi.org/10.1109/TGRS.2023.3236987}, doi = {10.1109/TGRS.2023.3236987}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgrs/KangWZZYSF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/ZhangWCXS23, author = {Ting Zhang and Zhirui Wang and Peirui Cheng and Guangluan Xu and Xian Sun}, title = {DCNNet: {A} Distributed Convolutional Neural Network for Remote Sensing Image Classification}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {61}, pages = {1--18}, year = {2023}, url = {https://doi.org/10.1109/TGRS.2023.3243238}, doi = {10.1109/TGRS.2023.3243238}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgrs/ZhangWCXS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkdd/WangWZL23, author = {Yashen Wang and Zhaoyu Wang and Huanhuan Zhang and Zhirun Liu}, title = {Microblog Retrieval Based on Concept-Enhanced Pre-Training Model}, journal = {{ACM} Trans. Knowl. Discov. Data}, volume = {17}, number = {3}, pages = {41:1--41:32}, year = {2023}, url = {https://doi.org/10.1145/3552311}, doi = {10.1145/3552311}, timestamp = {Fri, 19 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkdd/WangWZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmlr/LiAZMKMMALCLZZW23, author = {Raymond Li and Loubna Ben Allal and Yangtian Zi and Niklas Muennighoff and Denis Kocetkov and Chenghao Mou and Marc Marone and Christopher Akiki and Jia Li and Jenny Chim and Qian Liu and Evgenii Zheltonozhskii and Terry Yue Zhuo and Thomas Wang and Olivier Dehaene and Mishig Davaadorj and Joel Lamy{-}Poirier and Jo{\~{a}}o Monteiro and Oleh Shliazhko and Nicolas Gontier and Nicholas Meade and Armel Zebaze and Ming{-}Ho Yee and Logesh Kumar Umapathi and Jian Zhu and Benjamin Lipkin and Muhtasham Oblokulov and Zhiruo Wang and Rudra Murthy V and Jason T. Stillerman and Siva Sankalp Patel and Dmitry Abulkhanov and Marco Zocca and Manan Dey and Zhihan Zhang and Nour Fahmy and Urvashi Bhattacharyya and Wenhao Yu and Swayam Singh and Sasha Luccioni and Paulo Villegas and Maxim Kunakov and Fedor Zhdanov and Manuel Romero and Tony Lee and Nadav Timor and Jennifer Ding and Claire Schlesinger and Hailey Schoelkopf and Jan Ebert and Tri Dao and Mayank Mishra and Alex Gu and Jennifer Robinson and Carolyn Jane Anderson and Brendan Dolan{-}Gavitt and Danish Contractor and Siva Reddy and Daniel Fried and Dzmitry Bahdanau and Yacine Jernite and Carlos Mu{\~{n}}oz Ferrandis and Sean Hughes and Thomas Wolf and Arjun Guha and Leandro von Werra and Harm de Vries}, title = {StarCoder: may the source be with you!}, journal = {Trans. Mach. Learn. Res.}, volume = {2023}, year = {2023}, url = {https://openreview.net/forum?id=KoFOg41haE}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmlr/LiAZMKMMALCLZZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trets/GuoCLSTKQWUFZC23, author = {Licheng Guo and Yuze Chi and Jason Lau and Linghao Song and Xingyu Tian and Moazin Khatti and Weikang Qiao and Jie Wang and Ecenur Ustun and Zhenman Fang and Zhiru Zhang and Jason Cong}, title = {{TAPA:} {A} Scalable Task-parallel Dataflow Programming Framework for Modern FPGAs with Co-optimization of {HLS} and Physical Design}, journal = {{ACM} Trans. Reconfigurable Technol. Syst.}, volume = {16}, number = {4}, pages = {63:1--63:31}, year = {2023}, url = {https://doi.org/10.1145/3609335}, doi = {10.1145/3609335}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/trets/GuoCLSTKQWUFZC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trets/GuoMZLHLLQCSXKZC23, author = {Licheng Guo and Pongstorn Maidee and Yun Zhou and Chris Lavin and Eddie Hung and Wuxi Li and Jason Lau and Weikang Qiao and Yuze Chi and Linghao Song and Yuanlong Xiao and Alireza Kaviani and Zhiru Zhang and Jason Cong}, title = {RapidStream 2.0: Automated Parallel Implementation of Latency-Insensitive {FPGA} Designs Through Partial Reconfiguration}, journal = {{ACM} Trans. Reconfigurable Technol. Syst.}, volume = {16}, number = {4}, pages = {59:1--59:30}, year = {2023}, url = {https://doi.org/10.1145/3593025}, doi = {10.1145/3593025}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/trets/GuoMZLHLLQCSXKZC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tse/LiuDCZL23, author = {Shuang Liu and Shujie Dou and Junjie Chen and Zhirun Zhang and Ye Lu}, title = {Differential Testing of Machine Translators Based on Compositional Semantics}, journal = {{IEEE} Trans. Software Eng.}, volume = {49}, number = {12}, pages = {5046--5059}, year = {2023}, url = {https://doi.org/10.1109/TSE.2023.3323969}, doi = {10.1109/TSE.2023.3323969}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tse/LiuDCZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/XuZWZBLZW23, author = {Hanwen Xu and Jiayou Zhang and Zhirui Wang and Shizhuo Zhang and Megh Bhalerao and Yucong Liu and Dawei Zhu and Sheng Wang}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {GraphPrompt: Graph-Based Prompt Templates for Biomedical Synonym Prediction}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {10576--10584}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i9.26256}, doi = {10.1609/AAAI.V37I9.26256}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/XuZWZBLZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/HaoHLZ0023, author = {Hongkun Hao and Guoping Huang and Lemao Liu and Zhirui Zhang and Shuming Shi and Rui Wang}, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {Rethinking Translation Memory Augmented Neural Machine Translation}, booktitle = {Findings of the Association for Computational Linguistics: {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {2589--2605}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-acl.162}, doi = {10.18653/V1/2023.FINDINGS-ACL.162}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/HaoHLZ0023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/ZhangHZHWLZLW23, author = {Zhen Zhang and Mengting Hu and Shiwan Zhao and Minlie Huang and Haotian Wang and Lemao Liu and Zhirui Zhang and Zhe Liu and Bingzhe Wu}, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {{E-NER:} Evidential Deep Learning for Trustworthy Named Entity Recognition}, booktitle = {Findings of the Association for Computational Linguistics: {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {1619--1634}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-acl.103}, doi = {10.18653/V1/2023.FINDINGS-ACL.103}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/ZhangHZHWLZLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsci/WangLZLLZ23, author = {Feng Wang and Yang Lu and Qiang Zhang and Yang Liu and Linlin Liu and Zhiruo Zhang}, editor = {Keke Gai and Kim{-}Kwang Raymond Choo}, title = {SoK: Research status and challenges of blockchain smart contracts}, booktitle = {Proceedings of the 5th {ACM} International Symposium on Blockchain and Secure Critical Infrastructure, {BSCI} 2023, Melbourne, VIC, Australia, July 10-14, 2023}, pages = {145--147}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3594556.3594620}, doi = {10.1145/3594556.3594620}, timestamp = {Thu, 21 Sep 2023 11:51:52 +0200}, biburl = {https://dblp.org/rec/conf/bsci/WangLZLLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codesisss/AlcortaGDSZXWLEGH23, author = {Erika S. Alcorta and Andreas Gerstlauer and Chenhui Deng and Qi Sun and Zhiru Zhang and Ceyu Xu and Lisa Wu Wills and Daniela Sanchez Lopera and Wolfgang Ecker and Siddharth Garg and Jiang Hu}, title = {Special Session: Machine Learning for Embedded System Design}, booktitle = {International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2023, Hamburg, Germany, September 17-22, 2023}, pages = {28--37}, publisher = {{IEEE}}, year = {2023}, url = {https://ieeexplore.ieee.org/document/10317830}, timestamp = {Tue, 05 Dec 2023 20:47:36 +0100}, biburl = {https://dblp.org/rec/conf/codesisss/AlcortaGDSZXWLEGH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/UstunYZ23, author = {Ecenur Ustun and Cunxi Yu and Zhiru Zhang}, title = {Equality Saturation for Datapath Synthesis: {A} Pathway to Pareto Optimality}, booktitle = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DAC56929.2023.10247948}, doi = {10.1109/DAC56929.2023.10247948}, timestamp = {Sun, 24 Sep 2023 13:31:06 +0200}, biburl = {https://dblp.org/rec/conf/dac/UstunYZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/ChenLHZYSW23, author = {Xingyu Chen and Lemao Liu and Guoping Huang and Zhirui Zhang and Mingming Yang and Shuming Shi and Rui Wang}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Rethinking Word-Level Auto-Completion in Computer-Aided Translation}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {15405--15415}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-main.952}, doi = {10.18653/V1/2023.EMNLP-MAIN.952}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/ChenLHZYSW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/GaoZDLW23, author = {Ruize Gao and Zhirui Zhang and Yichao Du and Lemao Liu and Rui Wang}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Nearest Neighbor Machine Translation is Meta-Optimizer on Output Projection Layer}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {15592--15608}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-main.964}, doi = {10.18653/V1/2023.EMNLP-MAIN.964}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/GaoZDLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/HuangZGDLH0CH23, author = {Xu Huang and Zhirui Zhang and Ruize Gao and Yichao Du and Lemao Liu and Guoping Huang and Shuming Shi and Jiajun Chen and Shujian Huang}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {IMTLab: An Open-Source Platform for Building, Evaluating, and Diagnosing Interactive Machine Translation Systems}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {14903--14917}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-main.922}, doi = {10.18653/V1/2023.EMNLP-MAIN.922}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/HuangZGDLH0CH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/WangLJZY0T23, author = {Longyue Wang and Chenyang Lyu and Tianbo Ji and Zhirui Zhang and Dian Yu and Shuming Shi and Zhaopeng Tu}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Document-Level Machine Translation with Large Language Models}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {16646--16661}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-main.1036}, doi = {10.18653/V1/2023.EMNLP-MAIN.1036}, timestamp = {Wed, 19 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/WangLJZY0T23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icarm/DingRGBMWLLZY23, author = {Chenchen Ding and Hongwei Ren and Zhiru Guo and Minjie Bi and Changhai Man and Tingting Wang and Shuwei Li and Shaobo Luo and Rumin Zhang and Hao Yu}, title = {{TT-LCD:} Tensorized-Transformer based Loop Closure Detection for Robotic Visual {SLAM} on Edge}, booktitle = {International Conference on Advanced Robotics and Mechatronics, {ICARM} 2023, Sanya, China, July 8-10, 2023}, pages = {166--172}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICARM58088.2023.10218828}, doi = {10.1109/ICARM58088.2023.10218828}, timestamp = {Wed, 06 Sep 2023 16:07:25 +0200}, biburl = {https://dblp.org/rec/conf/icarm/DingRGBMWLLZY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccse2/ZuoZJ23, author = {Zhirui Zuo and Jie Zhang and Ying Jin}, editor = {Wenxing Hong and Geetha Kanaparan}, title = {The Role of Science and Technology Innovation Competition in Talent Cultivation and Development}, booktitle = {Computer Science and Education. Educational Digitalization - 18th International Conference, {ICCSE} 2023, Sepang, Malaysia, December 1-7, 2023, Proceedings, Part {III}}, series = {Communications in Computer and Information Science}, volume = {2025}, pages = {62--72}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-97-0737-9\_7}, doi = {10.1007/978-981-97-0737-9\_7}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccse2/ZuoZJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/DaiZLCLD023, author = {Yuhan Dai and Zhirui Zhang and Qiuzhi Liu and Qu Cui and Weihua Li and Yichao Du and Tong Xu}, title = {Simple and Scalable Nearest Neighbor Machine Translation}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=uu1GBD9SlLe}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/DaiZLCLD023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/DuZWL0C23, author = {Yichao Du and Zhirui Zhang and Bingzhe Wu and Lemao Liu and Tong Xu and Enhong Chen}, title = {Federated Nearest Neighbor Machine Translation}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=R1U5G2spbLd}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/DuZWL0C23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/FengMSZLG23, author = {Zhi Feng and Rui Ma and Jian Song and Yufan Zhang and Zhanyu Li and Zhirui Guo}, title = {A Novel Fault Diagnosis Method of {PEMFC} System Based on Data Space Feature Decision Tree Group and Extreme Learning Machine}, booktitle = {49th Annual Conference of the {IEEE} Industrial Electronics Society, {IECON} 2023, Singapore, October 16-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IECON51785.2023.10312280}, doi = {10.1109/IECON51785.2023.10312280}, timestamp = {Sat, 25 Nov 2023 16:52:31 +0100}, biburl = {https://dblp.org/rec/conf/iecon/FengMSZLG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/ZhangHB23, author = {Zhiru Zhang and Matthew Hofmann and Andrew Butt}, editor = {David G. Chinnery and Iris Hui{-}Ru Jiang}, title = {A Case for Open {EDA} Verticals}, booktitle = {Proceedings of the 2023 International Symposium on Physical Design, {ISPD} 2023, Virtual Event, USA, March 26-29, 2023}, pages = {208--209}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3569052.3578905}, doi = {10.1145/3569052.3578905}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispd/ZhangHB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwslt/DuGTZWYT0C23, author = {Yichao Du and Zhengsheng Guo and Jinchuan Tian and Zhirui Zhang and Xing Wang and Jianwei Yu and Zhaopeng Tu and Tong Xu and Enhong Chen}, editor = {Elizabeth Salesky and Marcello Federico and Marine Carpuat}, title = {The MineTrans Systems for {IWSLT} 2023 Offline Speech Translation and Speech-to-Speech Translation Tasks}, booktitle = {Proceedings of the 20th International Conference on Spoken Language Translation, IWSLT@ACL 2023, Toronto, Canada (in-person and online), 13-14 July, 2023}, pages = {79--88}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.iwslt-1.3}, doi = {10.18653/V1/2023.IWSLT-1.3}, timestamp = {Thu, 01 Aug 2024 15:37:24 +0200}, biburl = {https://dblp.org/rec/conf/iwslt/DuGTZWYT0C23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/0006GCLGZF23, author = {Yichi Zhang and Ankush Garg and Yuan Cao and Lukasz Lew and Behrooz Ghorbani and Zhiru Zhang and Orhan Firat}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Binarized Neural Machine Translation}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/bd1fc5cbedfe4d90d0ac2d23966fa27e-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/0006GCLGZF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/MaZBLZZZFHW23, author = {Huan Ma and Changqing Zhang and Yatao Bian and Lemao Liu and Zhirui Zhang and Peilin Zhao and Shu Zhang and Huazhu Fu and Qinghua Hu and Bingzhe Wu}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Fairness-guided Few-shot Prompting for Large Language Models}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/8678da90126aa58326b2fc0254b33a8c-Abstract-Conference.html}, timestamp = {Mon, 26 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/MaZBLZZZFHW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/semweb/0003ZRRB23, author = {Ruijie Wang and Zhiruo Zhang and Luca Rossetto and Florian Ruosch and Abraham Bernstein}, editor = {Debayan Banerjee and Ricardo Usbeck and Nandana Mihindukulasooriya and Gunjan Singh and Raghava Mutharaju and Pavan Kapanipathi}, title = {NLQxform: {A} Language Model-based Question to {SPARQL} Transformer}, booktitle = {Joint Proceedings of Scholarly {QALD} 2023 and SemREC 2023 co-located with 22nd International Semantic Web Conference {ISWC} 2023, Athens, Greece, November 6-10, 2023}, series = {{CEUR} Workshop Proceedings}, volume = {3592}, publisher = {CEUR-WS.org}, year = {2023}, url = {https://ceur-ws.org/Vol-3592/paper2.pdf}, timestamp = {Tue, 02 Jan 2024 17:44:44 +0100}, biburl = {https://dblp.org/rec/conf/semweb/0003ZRRB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/LazarevJKKMYDZA23, author = {Nikita Lazarev and Tao Ji and Anuj Kalia and Daehyeok Kim and Ilias Marinos and Francis Y. Yan and Christina Delimitrou and Zhiru Zhang and Aditya Akella}, editor = {Henning Schulzrinne and Vishal Misra and Eddie Kohler and David A. Maltz}, title = {Resilient Baseband Processing in Virtualized RANs with Slingshot}, booktitle = {Proceedings of the {ACM} {SIGCOMM} 2023 Conference, {ACM} {SIGCOMM} 2023, New York, NY, USA, 10-14 September 2023}, pages = {654--667}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3603269.3604841}, doi = {10.1145/3603269.3604841}, timestamp = {Fri, 02 Aug 2024 15:50:42 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/LazarevJKKMYDZA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcsp/ChenWLSZ23, author = {Zhiru Chen and Zhe Wang and Jun Li and Long Shi and Yijin Zhang}, title = {Contract-Matching Incentive Mechanism for UAV-Assisted Mobile Edge Computing}, booktitle = {International Conference on Wireless Communications and Signal Processing, {WCSP} 2023, Hangzhou, China, November 2-4, 2023}, pages = {910--916}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WCSP58612.2023.10404268}, doi = {10.1109/WCSP58612.2023.10404268}, timestamp = {Thu, 22 Feb 2024 20:11:28 +0100}, biburl = {https://dblp.org/rec/conf/wcsp/ChenWLSZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fpga/2023, editor = {Paolo Ienne and Zhiru Zhang}, title = {Proceedings of the 2023 {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, {FPGA} 2023, Monterey, CA, USA, February 12-14, 2023}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3543622}, doi = {10.1145/3543622}, isbn = {978-1-4503-9417-8}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpga/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-02568, author = {Ning Lu and Shengcai Liu and Zhirui Zhang and Qi Wang and Haifeng Liu and Ke Tang}, title = {Less is More: Understanding Word-level Textual Adversarial Attack via n-gram Frequency Descend}, journal = {CoRR}, volume = {abs/2302.02568}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.02568}, doi = {10.48550/ARXIV.2302.02568}, eprinttype = {arXiv}, eprint = {2302.02568}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-02568.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-04907, author = {Yichi Zhang and Ankush Garg and Yuan Cao and Lukasz Lew and Behrooz Ghorbani and Zhiru Zhang and Orhan Firat}, title = {Binarized Neural Machine Translation}, journal = {CoRR}, volume = {abs/2302.04907}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.04907}, doi = {10.48550/ARXIV.2302.04907}, eprinttype = {arXiv}, eprint = {2302.04907}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-04907.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-08005, author = {Hongzheng Chen and Cody Hao Yu and Shuai Zheng and Zhen Zhang and Zhiru Zhang and Yida Wang}, title = {Decoupled Model Schedule for Deep Learning Training}, journal = {CoRR}, volume = {abs/2302.08005}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.08005}, doi = {10.48550/ARXIV.2302.08005}, eprinttype = {arXiv}, eprint = {2302.08005}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-08005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-12188, author = {Yuhan Dai and Zhirui Zhang and Qiuzhi Liu and Qu Cui and Weihua Li and Yichao Du and Tong Xu}, title = {Simple and Scalable Nearest Neighbor Machine Translation}, journal = {CoRR}, volume = {abs/2302.12188}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.12188}, doi = {10.48550/ARXIV.2302.12188}, eprinttype = {arXiv}, eprint = {2302.12188}, timestamp = {Tue, 28 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-12188.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-12211, author = {Yichao Du and Zhirui Zhang and Bingzhe Wu and Lemao Liu and Tong Xu and Enhong Chen}, title = {Federated Nearest Neighbor Machine Translation}, journal = {CoRR}, volume = {abs/2302.12211}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.12211}, doi = {10.48550/ARXIV.2302.12211}, eprinttype = {arXiv}, eprint = {2302.12211}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-12211.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-13217, author = {Huan Ma and Changqing Zhang and Yatao Bian and Lemao Liu and Zhirui Zhang and Peilin Zhao and Shu Zhang and Huazhu Fu and Qinghua Hu and Bingzhe Wu}, title = {Fairness-guided Few-shot Prompting for Large Language Models}, journal = {CoRR}, volume = {abs/2303.13217}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.13217}, doi = {10.48550/ARXIV.2303.13217}, eprinttype = {arXiv}, eprint = {2303.13217}, timestamp = {Mon, 26 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-13217.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-02210, author = {Longyue Wang and Chenyang Lyu and Tianbo Ji and Zhirui Zhang and Dian Yu and Shuming Shi and Zhaopeng Tu}, title = {Document-Level Machine Translation with Large Language Models}, journal = {CoRR}, volume = {abs/2304.02210}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.02210}, doi = {10.48550/ARXIV.2304.02210}, eprinttype = {arXiv}, eprint = {2304.02210}, timestamp = {Wed, 19 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-02210.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-06161, author = {Raymond Li and Loubna Ben Allal and Yangtian Zi and Niklas Muennighoff and Denis Kocetkov and Chenghao Mou and Marc Marone and Christopher Akiki and Jia Li and Jenny Chim and Qian Liu and Evgenii Zheltonozhskii and Terry Yue Zhuo and Thomas Wang and Olivier Dehaene and Mishig Davaadorj and Joel Lamy{-}Poirier and Jo{\~{a}}o Monteiro and Oleh Shliazhko and Nicolas Gontier and Nicholas Meade and Armel Zebaze and Ming{-}Ho Yee and Logesh Kumar Umapathi and Jian Zhu and Benjamin Lipkin and Muhtasham Oblokulov and Zhiruo Wang and Rudra Murthy V and Jason Stillerman and Siva Sankalp Patel and Dmitry Abulkhanov and Marco Zocca and Manan Dey and Zhihan Zhang and Nour Moustafa{-}Fahmy and Urvashi Bhattacharyya and Wenhao Yu and Swayam Singh and Sasha Luccioni and Paulo Villegas and Maxim Kunakov and Fedor Zhdanov and Manuel Romero and Tony Lee and Nadav Timor and Jennifer Ding and Claire Schlesinger and Hailey Schoelkopf and Jan Ebert and Tri Dao and Mayank Mishra and Alex Gu and Jennifer Robinson and Carolyn Jane Anderson and Brendan Dolan{-}Gavitt and Danish Contractor and Siva Reddy and Daniel Fried and Dzmitry Bahdanau and Yacine Jernite and Carlos Mu{\~{n}}oz Ferrandis and Sean Hughes and Thomas Wolf and Arjun Guha and Leandro von Werra and Harm de Vries}, title = {StarCoder: may the source be with you!}, journal = {CoRR}, volume = {abs/2305.06161}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.06161}, doi = {10.48550/ARXIV.2305.06161}, eprinttype = {arXiv}, eprint = {2305.06161}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-06161.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-06252, author = {Minheng Chen and Zhirun Zhang and Shuheng Gu and Youyong Kong}, title = {Embedded Feature Similarity Optimization with Specific Parameter Initialization for 2D/3D Registration}, journal = {CoRR}, volume = {abs/2305.06252}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.06252}, doi = {10.48550/ARXIV.2305.06252}, eprinttype = {arXiv}, eprint = {2305.06252}, timestamp = {Tue, 16 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-06252.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-13034, author = {Ruize Gao and Zhirui Zhang and Yichao Du and Lemao Liu and Rui Wang}, title = {Nearest Neighbor Machine Translation is Meta-Optimizer on Output Projection Layer}, journal = {CoRR}, volume = {abs/2305.13034}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.13034}, doi = {10.48550/ARXIV.2305.13034}, eprinttype = {arXiv}, eprint = {2305.13034}, timestamp = {Thu, 13 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-13034.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-17854, author = {Zhen Zhang and Mengting Hu and Shiwan Zhao and Minlie Huang and Haotian Wang and Lemao Liu and Zhirui Zhang and Zhe Liu and Bingzhe Wu}, title = {{E-NER:} Evidential Deep Learning for Trustworthy Named Entity Recognition}, journal = {CoRR}, volume = {abs/2305.17854}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.17854}, doi = {10.48550/ARXIV.2305.17854}, eprinttype = {arXiv}, eprint = {2305.17854}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-17854.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-06948, author = {Hongkun Hao and Guoping Huang and Lemao Liu and Zhirui Zhang and Shuming Shi and Rui Wang}, title = {Rethinking Translation Memory Augmented Neural Machine Translation}, journal = {CoRR}, volume = {abs/2306.06948}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.06948}, doi = {10.48550/ARXIV.2306.06948}, eprinttype = {arXiv}, eprint = {2306.06948}, timestamp = {Thu, 13 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-06948.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-02896, author = {Yueying Li and Nikita Lazarev and David Koufaty and Yijun Yin and Andy Anderson and Zhiru Zhang and G. Edward Suh and Kostis Kaffes and Christina Delimitrou}, title = {Towards Fast, Adaptive, and Hardware-Assisted User-Space Scheduling}, journal = {CoRR}, volume = {abs/2308.02896}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.02896}, doi = {10.48550/ARXIV.2308.02896}, eprinttype = {arXiv}, eprint = {2308.02896}, timestamp = {Mon, 21 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-02896.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-03290, author = {Jordan Dotzel and Gang Wu and Andrew Li and Muhammad Umar and Yun Ni and Mohamed S. Abdelfattah and Zhiru Zhang and Liqun Cheng and Martin G. Dixon and Norman P. Jouppi and Quoc V. Le and Sheng Li}, title = {{FLIQS:} One-Shot Mixed-Precision Floating-Point and Integer Quantization Search}, journal = {CoRR}, volume = {abs/2308.03290}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.03290}, doi = {10.48550/ARXIV.2308.03290}, eprinttype = {arXiv}, eprint = {2308.03290}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-03290.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-06227, author = {Ruirong Huang and Zichao Yue and Caroline Huang and Janarbek Matai and Zhiru Zhang}, title = {Comprehensive Benchmarking of Binary Neural Networks on {NVM} Crossbar Architectures}, journal = {CoRR}, volume = {abs/2308.06227}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.06227}, doi = {10.48550/ARXIV.2308.06227}, eprinttype = {arXiv}, eprint = {2308.06227}, timestamp = {Wed, 23 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-06227.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-09084, author = {Dongyang Yu and Haoyue Zhang and Zhirui Zhou and Wangpeng An and Yanhong Yang}, title = {MovePose: {A} High-performance Human Pose Estimation Algorithm on Mobile and Edge Devices}, journal = {CoRR}, volume = {abs/2308.09084}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.09084}, doi = {10.48550/ARXIV.2308.09084}, eprinttype = {arXiv}, eprint = {2308.09084}, timestamp = {Fri, 25 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-09084.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-09003, author = {Yuelei Wang and Ting Zhang and Liangjin Zhao and Lin Hu and Zhechao Wang and Ziqing Niu and Peirui Cheng and Kaiqiang Chen and Xuan Zeng and Zhirui Wang and Hongqi Wang and Xian Sun}, title = {RingMo-lite: {A} Remote Sensing Multi-task Lightweight Network with CNN-Transformer Hybrid Framework}, journal = {CoRR}, volume = {abs/2309.09003}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.09003}, doi = {10.48550/ARXIV.2309.09003}, eprinttype = {arXiv}, eprint = {2309.09003}, timestamp = {Fri, 22 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-09003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-11032, author = {Zhirui Sun and Boshu Lei and Peijia Xie and Fugang Liu and Junjie Gao and Ying Zhang and Jiankun Wang}, title = {Multi-Risk-RRT: An Efficient Motion Planning Algorithm for Robotic Autonomous Luggage Trolley Collection at Airports}, journal = {CoRR}, volume = {abs/2309.11032}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.11032}, doi = {10.48550/ARXIV.2309.11032}, eprinttype = {arXiv}, eprint = {2309.11032}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-11032.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-07488, author = {Jia{-}Yi Fu and Lei Lin and Xiaoyang Gao and Pengli Liu and Zhengzong Chen and Zhirui Yang and Shengnan Zhang and Xue Zheng and Yan Li and Yuliang Liu and Xucheng Ye and Yiqiao Liao and Chao Liao and Bin Chen and Chengru Song and Junchen Wan and Zijia Lin and Fuzheng Zhang and Zhongyuan Wang and Di Zhang and Kun Gai}, title = {KwaiYiiMath: Technical Report}, journal = {CoRR}, volume = {abs/2310.07488}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.07488}, doi = {10.48550/ARXIV.2310.07488}, eprinttype = {arXiv}, eprint = {2310.07488}, timestamp = {Wed, 22 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-07488.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-07637, author = {Yuhe Liu and Changhua Pei and Longlong Xu and Bohan Chen and Mingze Sun and Zhirui Zhang and Yongqian Sun and Shenglin Zhang and Kun Wang and Haiming Zhang and Jianhui Li and Gaogang Xie and Xidao Wen and Xiaohui Nie and Dan Pei}, title = {OpsEval: {A} Comprehensive Task-Oriented AIOps Benchmark for Large Language Models}, journal = {CoRR}, volume = {abs/2310.07637}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.07637}, doi = {10.48550/ARXIV.2310.07637}, eprinttype = {arXiv}, eprint = {2310.07637}, timestamp = {Tue, 24 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-07637.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-11102, author = {Yulan Hu and Zhirui Yang and Sheng Ouyang and Junchen Wan and Fuzheng Zhang and Zhongyuan Wang and Yong Liu}, title = {{HGCVAE:} Integrating Generative and Contrastive Learning for Heterogeneous Graph Learning}, journal = {CoRR}, volume = {abs/2310.11102}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.11102}, doi = {10.48550/ARXIV.2310.11102}, eprinttype = {arXiv}, eprint = {2310.11102}, timestamp = {Mon, 30 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-11102.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-11163, author = {Xu Huang and Zhirui Zhang and Ruize Gao and Yichao Du and Lemao Liu and Guoping Huang and Shuming Shi and Jiajun Chen and Shujian Huang}, title = {IMTLab: An Open-Source Platform for Building, Evaluating, and Diagnosing Interactive Machine Translation Systems}, journal = {CoRR}, volume = {abs/2310.11163}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.11163}, doi = {10.48550/ARXIV.2310.11163}, eprinttype = {arXiv}, eprint = {2310.11163}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-11163.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-14523, author = {Xingyu Chen and Lemao Liu and Guoping Huang and Zhirui Zhang and Mingming Yang and Shuming Shi and Rui Wang}, title = {Rethinking Word-Level Auto-Completion in Computer-Aided Translation}, journal = {CoRR}, volume = {abs/2310.14523}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.14523}, doi = {10.48550/ARXIV.2310.14523}, eprinttype = {arXiv}, eprint = {2310.14523}, timestamp = {Mon, 30 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-14523.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-14525, author = {Yulan Hu and Sheng Ouyang and Jingyu Liu and Ge Chen and Zhirui Yang and Junchen Wan and Fuzheng Zhang and Zhongyuan Wang and Yong Liu}, title = {Do We Really Need Contrastive Learning for Graph Representation?}, journal = {CoRR}, volume = {abs/2310.14525}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.14525}, doi = {10.48550/ARXIV.2310.14525}, eprinttype = {arXiv}, eprint = {2310.14525}, timestamp = {Mon, 30 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-14525.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-07588, author = {Ruijie Wang and Zhiruo Zhang and Luca Rossetto and Florian Ruosch and Abraham Bernstein}, title = {NLQxform: {A} Language Model-based Question to {SPARQL} Transformer}, journal = {CoRR}, volume = {abs/2311.07588}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.07588}, doi = {10.48550/ARXIV.2311.07588}, eprinttype = {arXiv}, eprint = {2311.07588}, timestamp = {Tue, 21 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-07588.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-01407, author = {Liao Wang and Kaixin Yao and Chengcheng Guo and Zhirui Zhang and Qiang Hu and Jingyi Yu and Lan Xu and Minye Wu}, title = {VideoRF: Rendering Dynamic Radiance Fields as 2D Feature Video Streams}, journal = {CoRR}, volume = {abs/2312.01407}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.01407}, doi = {10.48550/ARXIV.2312.01407}, eprinttype = {arXiv}, eprint = {2312.01407}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-01407.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-15159, author = {Hongzheng Chen and Jiahao Zhang and Yixiao Du and Shaojie Xiang and Zichao Yue and Niansong Zhang and Yaohui Cai and Zhiru Zhang}, title = {Understanding the Potential of FPGA-Based Spatial Acceleration for Large Language Model Inference}, journal = {CoRR}, volume = {abs/2312.15159}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.15159}, doi = {10.48550/ARXIV.2312.15159}, eprinttype = {arXiv}, eprint = {2312.15159}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-15159.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-15955, author = {Jiajun Jiang and Zijie Zhao and Zhirui Ye and Bo Wang and Hongyu Zhang and Junjie Chen}, title = {Enhancing Redundancy-based Automated Program Repair by Fine-grained Pattern Mining}, journal = {CoRR}, volume = {abs/2312.15955}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.15955}, doi = {10.48550/ARXIV.2312.15955}, eprinttype = {arXiv}, eprint = {2312.15955}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-15955.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aei/YanZXFLSW22, author = {Jun Yan and Qi Zhang and Qi Xu and Zhirui Fan and Haijiang Li and Wei Sun and Guangyuan Wang}, title = {Deep learning driven real time topology optimisation based on initial stress learning}, journal = {Adv. Eng. Informatics}, volume = {51}, pages = {101472}, year = {2022}, url = {https://doi.org/10.1016/j.aei.2021.101472}, doi = {10.1016/J.AEI.2021.101472}, timestamp = {Fri, 18 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aei/YanZXFLSW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HuaZS22, author = {Weizhe Hua and Zhiru Zhang and G. Edward Suh}, title = {Reverse-Engineering {CNN} Models Using Side-Channel Attacks}, journal = {{IEEE} Des. Test}, volume = {39}, number = {4}, pages = {15--22}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3151019}, doi = {10.1109/MDAT.2022.3151019}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/HuaZS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fdata/ZhangCJLL22, author = {Zhirun Zhang and Li Chen and Tonglin Jiang and Yutong Li and Lei Li}, title = {Effects of Feature-Based Explanation and Its Output Modality on User Satisfaction With Service Recommender Systems}, journal = {Frontiers Big Data}, volume = {5}, pages = {897381}, year = {2022}, url = {https://doi.org/10.3389/fdata.2022.897381}, doi = {10.3389/FDATA.2022.897381}, timestamp = {Wed, 10 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fdata/ZhangCJLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/information/WangLWZ22, author = {Hongxia Wang and Ming Li and Zhiru Wang and Xiaobo Zhang}, title = {Temporal and Spatial Evolution of Green Invention Patent Applications in China}, journal = {Inf.}, volume = {13}, number = {5}, pages = {240}, year = {2022}, url = {https://doi.org/10.3390/info13050240}, doi = {10.3390/INFO13050240}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/information/WangLWZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChengPZRWVERJIR22, author = {Lin Cheng and Peitian Pan and Zhongyuan Zhao and Krithik Ranjan and Jack Weber and Bandhav Veluri and Seyed Borna Ehsani and Max Ruttenberg and Dai Cheol Jung and Preslav Ivanov and Dustin Richmond and Michael B. Taylor and Zhiru Zhang and Christopher Batten}, title = {A Tensor Processing Framework for CPU-Manycore Heterogeneous Systems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {6}, pages = {1620--1635}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2021.3103825}, doi = {10.1109/TCAD.2021.3103825}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChengPZRWVERJIR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/FuZZWS22, author = {Kun Fu and Tengfei Zhang and Yue Zhang and Zhirui Wang and Xian Sun}, title = {Few-Shot {SAR} Target Classification via Metalearning}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {60}, pages = {1--14}, year = {2022}, url = {https://doi.org/10.1109/TGRS.2021.3058249}, doi = {10.1109/TGRS.2021.3058249}, timestamp = {Mon, 21 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgrs/FuZZWS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tifs/ZhengLJZT22, author = {Zhirun Zheng and Zhetao Li and Hongbo Jiang and Leo Yu Zhang and Dengbiao Tu}, title = {Semantic-Aware Privacy-Preserving Online Location Trajectory Data Sharing}, journal = {{IEEE} Trans. Inf. Forensics Secur.}, volume = {17}, pages = {2256--2271}, year = {2022}, url = {https://doi.org/10.1109/TIFS.2022.3181855}, doi = {10.1109/TIFS.2022.3181855}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tifs/ZhengLJZT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/ChenZYY22, author = {Enhui Chen and Wenbo Zhang and Zhirui Ye and Min Yang}, title = {Unraveling Latent Transfer Patterns Between Metro and Bus From Large-Scale Smart Card Data}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {23}, number = {4}, pages = {3351--3365}, year = {2022}, url = {https://doi.org/10.1109/TITS.2020.3035719}, doi = {10.1109/TITS.2020.3035719}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/ChenZYY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trets/CongLLNPVZ22, author = {Jason Cong and Jason Lau and Gai Liu and Stephen Neuendorffer and Peichen Pan and Kees A. Vissers and Zhiru Zhang}, title = {{FPGA} {HLS} Today: Successes, Challenges, and Opportunities}, journal = {{ACM} Trans. Reconfigurable Technol. Syst.}, volume = {15}, number = {4}, pages = {51:1--51:42}, year = {2022}, url = {https://doi.org/10.1145/3530775}, doi = {10.1145/3530775}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/trets/CongLLNPVZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/WangCWZZHD22, author = {Yunhai Wang and Da Cheng and Zhirui Wang and Jian Zhang and Liang Zhou and Gaoqi He and Oliver Deussen}, title = {F2-Bubbles: Faithful Bubble Set Construction and Flexible Editing}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {28}, number = {1}, pages = {422--432}, year = {2022}, url = {https://doi.org/10.1109/TVCG.2021.3114761}, doi = {10.1109/TVCG.2021.3114761}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvcg/WangCWZZHD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/DuZWCX022, author = {Yichao Du and Zhirui Zhang and Weizhi Wang and Boxing Chen and Jun Xie and Tong Xu}, title = {Regularizing End-to-End Speech Translation with Triangular Decomposition Agreement}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {10590--10598}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i10.21303}, doi = {10.1609/AAAI.V36I10.21303}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/DuZWCX022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/WangWZHXC22, author = {Dongqi Wang and Haoran Wei and Zhirui Zhang and Shujian Huang and Jun Xie and Jiajun Chen}, title = {Non-parametric Online Learning from Human Feedback for Neural Machine Translation}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {11431--11439}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i10.21395}, doi = {10.1609/AAAI.V36I10.21395}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/WangWZHXC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/Cheng0WJG0HLZ22, author = {Zhoujun Cheng and Haoyu Dong and Zhiruo Wang and Ran Jia and Jiaqi Guo and Yan Gao and Shi Han and Jian{-}Guang Lou and Dongmei Zhang}, editor = {Smaranda Muresan and Preslav Nakov and Aline Villavicencio}, title = {HiTab: {A} Hierarchical Table Dataset for Question Answering and Natural Language Generation}, booktitle = {Proceedings of the 60th Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), {ACL} 2022, Dublin, Ireland, May 22-27, 2022}, pages = {1094--1110}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.acl-long.78}, doi = {10.18653/V1/2022.ACL-LONG.78}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/Cheng0WJG0HLZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/GuoZZHZXB22, author = {Fenfei Guo and Chen Zhang and Zhirui Zhang and Qixin He and Kejun Zhang and Jun Xie and Jordan L. Boyd{-}Graber}, editor = {Smaranda Muresan and Preslav Nakov and Aline Villavicencio}, title = {Automatic Song Translation for Tonal Languages}, booktitle = {Findings of the Association for Computational Linguistics: {ACL} 2022, Dublin, Ireland, May 22-27, 2022}, pages = {729--743}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.findings-acl.60}, doi = {10.18653/V1/2022.FINDINGS-ACL.60}, timestamp = {Wed, 19 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/GuoZZHZXB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cui/ChenZ0Z22, author = {Li Chen and Zhirun Zhang and Xinzhi Zhang and Lehong Zhao}, editor = {Martin Halvey and Mary Ellen Foster and Jeff Dalton and Cosmin Munteanu and Johanne Trippas}, title = {A Pilot Study for Understanding Users' Attitudes Towards a Conversational Agent for News Recommendation}, booktitle = {{CUI} 2022: 4th Conference on Conversational User Interfaces, Glasgow, United Kingdom, July 26 - 28, 2022}, pages = {36:1--36:6}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3543829.3544530}, doi = {10.1145/3543829.3544530}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cui/ChenZ0Z22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ZhangZL22, author = {Yichi Zhang and Zhiru Zhang and Lukasz Lew}, title = {PokeBNN: {A} Binary Pursuit of Lightweight Accuracy}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {12465--12475}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.01215}, doi = {10.1109/CVPR52688.2022.01215}, timestamp = {Wed, 23 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ZhangZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuaUZS22, author = {Weizhe Hua and Muhammad Umar and Zhiru Zhang and G. Edward Suh}, editor = {Rob Oshana}, title = {GuardNN: secure accelerator architecture for privacy-preserving deep learning}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {349--354}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517.3530439}, doi = {10.1145/3489517.3530439}, timestamp = {Thu, 23 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HuaUZS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PalLXZCCCYYPZ22, author = {Debjit Pal and Yi{-}Hsiang Lai and Shaojie Xiang and Niansong Zhang and Hongzheng Chen and Jeremy Casas and Pasquale Cocchini and Zhenkun Yang and Jin Yang and Louis{-}No{\"{e}}l Pouchet and Zhiru Zhang}, editor = {Rob Oshana}, title = {Accelerator design with decoupled hardware customizations: benefits and challenges: invited}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {1351--1354}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517.3530681}, doi = {10.1145/3489517.3530681}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/PalLXZCCCYYPZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/DuWZC0XC22, author = {Yichao Du and Weizhi Wang and Zhirui Zhang and Boxing Chen and Tong Xu and Jun Xie and Enhong Chen}, editor = {Yoav Goldberg and Zornitsa Kozareva and Yue Zhang}, title = {Non-Parametric Domain Adaptation for End-to-End Speech Translation}, booktitle = {Proceedings of the 2022 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2022, Abu Dhabi, United Arab Emirates, December 7-11, 2022}, pages = {306--320}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.emnlp-main.21}, doi = {10.18653/V1/2022.EMNLP-MAIN.21}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/DuWZC0XC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/Venkataramanaiah22, author = {Shreyas Kolala Venkataramanaiah and Jian Meng and Han{-}Sok Suh and Injune Yeo and Jyotishman Saikia and Sai Kiran Cherupally and Yichi Zhang and Zhiru Zhang and Jae{-}sun Seo}, title = {A 28nm 8-bit Floating-Point Tensor Core based {CNN} Training Processor with Dynamic Activation/Weight Sparsification}, booktitle = {48th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2022, Milan, Italy, September 19-22, 2022}, pages = {89--92}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ESSCIRC55480.2022.9911359}, doi = {10.1109/ESSCIRC55480.2022.9911359}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/esscirc/Venkataramanaiah22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/UstunSYYZ22, author = {Ecenur Ustun and Ismail San and Jiaqi Yin and Cunxi Yu and Zhiru Zhang}, title = {IMpress: Large Integer Multiplication Expression Rewriting for {FPGA} {HLS}}, booktitle = {30th {IEEE} Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2022, New York City, NY, USA, May 15-18, 2022}, pages = {1--10}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/FCCM53951.2022.9786123}, doi = {10.1109/FCCM53951.2022.9786123}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fccm/UstunSYYZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/DuHZZ22, author = {Yixiao Du and Yuwei Hu and Zhongchun Zhou and Zhiru Zhang}, editor = {Michael Adler and Paolo Ienne}, title = {High-Performance Sparse Linear Algebra on HBM-Equipped FPGAs Using {HLS:} {A} Case Study on SpMV}, booktitle = {{FPGA} '22: The 2022 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, Virtual Event, USA, 27 February 2022 - 1 March 2022}, pages = {54--64}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3490422.3502368}, doi = {10.1145/3490422.3502368}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpga/DuHZZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/GuoMZ00CQKZC22, author = {Licheng Guo and Pongstorn Maidee and Yun Zhou and Chris Lavin and Jie Wang and Yuze Chi and Weikang Qiao and Alireza Kaviani and Zhiru Zhang and Jason Cong}, editor = {Michael Adler and Paolo Ienne}, title = {RapidStream: Parallel Physical Implementation of {FPGA} {HLS} Designs}, booktitle = {{FPGA} '22: The 2022 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, Virtual Event, USA, 27 February 2022 - 1 March 2022}, pages = {1--12}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3490422.3502361}, doi = {10.1145/3490422.3502361}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpga/GuoMZ00CQKZC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/XiangLZCZPZ22, author = {Shaojie Xiang and Yi{-}Hsiang Lai and Yuan Zhou and Hongzheng Chen and Niansong Zhang and Debjit Pal and Zhiru Zhang}, editor = {Michael Adler and Paolo Ienne}, title = {HeteroFlow: An Accelerator Programming Model with Decoupled Data Placement for Software-Defined FPGAs}, booktitle = {{FPGA} '22: The 2022 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, Virtual Event, USA, 27 February 2022 - 1 March 2022}, pages = {78--88}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3490422.3502369}, doi = {10.1145/3490422.3502369}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpga/XiangLZCZPZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ZhangZCLS22, author = {Linlin Zhang and Zhirui Zhang and Boxing Chen and Weihua Luo and Luo Si}, title = {Context-Adaptive Document-Level Neural Machine Translation}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2022, Virtual and Singapore, 23-27 May 2022}, pages = {6232--6236}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICASSP43922.2022.9746245}, doi = {10.1109/ICASSP43922.2022.9746245}, timestamp = {Tue, 07 Jun 2022 17:34:47 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ZhangZCLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/Liu0LZGZ22, author = {Mingyuan Liu and Wei Quan and Zhiruo Liu and Yuan Zhang and Deyun Gao and Hongke Zhang}, title = {Combating Eavesdropping with Resilient Multipath Transmission for Space/aerial-assisted IoT}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2022, Seoul, Korea, May 16-20, 2022}, pages = {2230--2235}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICC45855.2022.9839147}, doi = {10.1109/ICC45855.2022.9839147}, timestamp = {Fri, 19 Aug 2022 10:24:08 +0200}, biburl = {https://dblp.org/rec/conf/icc/Liu0LZGZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccse2/YeWTZ22, author = {Zhi{-}Run Ye and Ming{-}Wei Wu and Hong{-}Wei Tao and Zheng Zhang}, editor = {Wenxing Hong and Yang Weng}, title = {A Portable Electrical Signal Generator for Active Learning}, booktitle = {Computer Science and Education - 17th International Conference, {ICCSE} 2022, Ningbo, China, August 18-21, 2022, Revised Selected Papers, Part {III}}, series = {Communications in Computer and Information Science}, volume = {1813}, pages = {349--360}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-981-99-2449-3\_31}, doi = {10.1007/978-981-99-2449-3\_31}, timestamp = {Fri, 04 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccse2/YeWTZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icws/LiangKZZKZ22, author = {Qingmi Liang and Zhirui Kuai and Yangqi Zhang and Zhiyang Zhang and Li Kuang and Lingyan Zhang}, editor = {Claudio Agostino Ardagna and Nimanthi L. Atukorala and Boualem Benatallah and Athman Bouguettaya and Fabio Casati and Carl K. Chang and Rong N. Chang and Ernesto Damiani and Chirine Ghedira Gu{\'{e}}gan and Robert Ward and Fatos Xhafa and Xiaofei Xu and Jia Zhang}, title = {MisuseHint: {A} Service for {API} Misuse Detection Based on Building Knowledge Graph from Documentation and Codebase}, booktitle = {{IEEE} International Conference on Web Services, {ICWS} 2022, Barcelona, Spain, July 10-16, 2022}, pages = {246--255}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICWS55610.2022.00046}, doi = {10.1109/ICWS55610.2022.00046}, timestamp = {Sun, 06 Oct 2024 21:07:04 +0200}, biburl = {https://dblp.org/rec/conf/icws/LiangKZZKZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesec/YinZY22, author = {Jiaqi Yin and Zhiru Zhang and Cunxi Yu}, title = {Exact Memory- and Communication-aware Scheduling of DNNs on Pipelined Edge TPUs}, booktitle = {7th {IEEE/ACM} Symposium on Edge Computing, {SEC} 2022, Seattle, WA, USA, December 5-8, 2022}, pages = {203--215}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SEC54971.2022.00023}, doi = {10.1109/SEC54971.2022.00023}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeesec/YinZY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/HuaUZS22, author = {Weizhe Hua and Muhammad Umar and Zhiru Zhang and G. Edward Suh}, editor = {Valentina Salapura and Mohamed Zahran and Fred Chong and Lingjia Tang}, title = {{MGX:} near-zero overhead memory protection for data-intensive accelerators}, booktitle = {{ISCA} '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18 - 22, 2022}, pages = {726--741}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3470496.3527418}, doi = {10.1145/3470496.3527418}, timestamp = {Thu, 23 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/HuaUZS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/UmarHZS22, author = {Muhammad Umar and Weizhe Hua and Zhiru Zhang and G. Edward Suh}, editor = {Valentina Salapura and Mohamed Zahran and Fred Chong and Lingjia Tang}, title = {SoftVN: efficient memory protection via software-provided version numbers}, booktitle = {{ISCA} '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18 - 22, 2022}, pages = {160--172}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3470496.3527378}, doi = {10.1145/3470496.3527378}, timestamp = {Thu, 23 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/UmarHZS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itnac/GuLMWZ22, author = {Zhiru Gu and Zhongwei Liu and Ziji Ma and Feilong Wang and Xiaogang Zhang}, title = {Minimizing Energy Loss Decisions for Green Driving Platoon}, booktitle = {32nd International Telecommunication Networks and Applications Conference, {ITNAC} 2022, Wellington, New Zealand, November 30 - Dec. 2, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITNAC55475.2022.9998351}, doi = {10.1109/ITNAC55475.2022.9998351}, timestamp = {Tue, 17 Jan 2023 11:02:17 +0100}, biburl = {https://dblp.org/rec/conf/itnac/GuLMWZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/log/DengLFZ22, author = {Chenhui Deng and Xiuyu Li and Zhuo Feng and Zhiru Zhang}, editor = {Bastian Rieck and Razvan Pascanu}, title = {{GARNET:} Reduced-Rank Topology Learning for Robust and Scalable Graph Neural Networks}, booktitle = {Learning on Graphs Conference, LoG 2022, 9-12 December 2022, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {198}, pages = {3}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v198/deng22a.html}, timestamp = {Fri, 17 Feb 2023 16:29:10 +0100}, biburl = {https://dblp.org/rec/conf/log/DengLFZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/YuZZS22, author = {Tao Yu and Yichi Zhang and Zhiru Zhang and Christopher De Sa}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Understanding Hyperdimensional Computing for Parallel Single-Pass Learning}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/080be5eb7e887319ff30c792c2cbc28c-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/YuZZS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/WangZGDCL22, author = {Weizhi Wang and Zhirui Zhang and Junliang Guo and Yinpei Dai and Boxing Chen and Weihua Luo}, editor = {Enrique Amig{\'{o}} and Pablo Castells and Julio Gonzalo and Ben Carterette and J. Shane Culpepper and Gabriella Kazai}, title = {Task-Oriented Dialogue System as Natural Language Generation}, booktitle = {{SIGIR} '22: The 45th International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, Madrid, Spain, July 11 - 15, 2022}, pages = {2698--2703}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3477495.3531920}, doi = {10.1145/3477495.3531920}, timestamp = {Sat, 09 Jul 2022 09:25:34 +0200}, biburl = {https://dblp.org/rec/conf/sigir/WangZGDCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/um/ZhangJC22, author = {Zhirun Zhang and Yucheng Jin and Li Chen}, title = {A Diary Study of Social Explanations for Recommendations in Daily Life}, booktitle = {{UMAP} '22: 30th {ACM} Conference on User Modeling, Adaptation and Personalization, Barcelona, Spain, July 4 - 7, 2022, Adjunct Proceedings}, pages = {200--208}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3511047.3537681}, doi = {10.1145/3511047.3537681}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/um/ZhangJC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-12741, author = {Chenhui Deng and Xiuyu Li and Zhuo Feng and Zhiru Zhang}, title = {{GARNET:} Reduced-Rank Topology Learning for Robust and Scalable Graph Neural Networks}, journal = {CoRR}, volume = {abs/2201.12741}, year = {2022}, url = {https://arxiv.org/abs/2201.12741}, eprinttype = {arXiv}, eprint = {2201.12741}, timestamp = {Wed, 02 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-12741.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-04805, author = {Tao Yu and Yichi Zhang and Zhiru Zhang and Christopher De Sa}, title = {Understanding Hyperdimensional Computing for Parallel Single-Pass Learning}, journal = {CoRR}, volume = {abs/2202.04805}, year = {2022}, url = {https://arxiv.org/abs/2202.04805}, eprinttype = {arXiv}, eprint = {2202.04805}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-04805.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-02549, author = {Yaohui Cai and Weizhe Hua and Hongzheng Chen and G. Edward Suh and Christopher De Sa and Zhiru Zhang}, title = {Structured Pruning is All You Need for Pruning CNNs at Initialization}, journal = {CoRR}, volume = {abs/2203.02549}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.02549}, doi = {10.48550/ARXIV.2203.02549}, eprinttype = {arXiv}, eprint = {2203.02549}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-02549.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-13420, author = {Fenfei Guo and Chen Zhang and Zhirui Zhang and Qixin He and Kejun Zhang and Jun Xie and Jordan L. Boyd{-}Graber}, title = {Automatic Song Translation for Tonal Languages}, journal = {CoRR}, volume = {abs/2203.13420}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.13420}, doi = {10.48550/ARXIV.2203.13420}, eprinttype = {arXiv}, eprint = {2203.13420}, timestamp = {Wed, 19 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-13420.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-11211, author = {Yichao Du and Weizhi Wang and Zhirui Zhang and Boxing Chen and Tong Xu and Jun Xie and Enhong Chen}, title = {Non-Parametric Domain Adaptation for End-to-End Speech Translation}, journal = {CoRR}, volume = {abs/2205.11211}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.11211}, doi = {10.48550/ARXIV.2205.11211}, eprinttype = {arXiv}, eprint = {2205.11211}, timestamp = {Mon, 30 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-11211.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-11918, author = {Yuwei Hu and Jiajie Li and Zhongming Yu and Zhiru Zhang}, title = {Benchmarking GNN-Based Recommender Systems on Intel Optane Persistent Memory}, journal = {CoRR}, volume = {abs/2207.11918}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.11918}, doi = {10.48550/ARXIV.2207.11918}, eprinttype = {arXiv}, eprint = {2207.11918}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-11918.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-02663, author = {Licheng Guo and Yuze Chi and Jason Lau and Linghao Song and Xingyu Tian and Moazin Khatti and Weikang Qiao and Jie Wang and Ecenur Ustun and Zhenman Fang and Zhiru Zhang and Jason Cong}, title = {{TAPA:} {A} Scalable Task-Parallel Dataflow Programming Framework for Modern FPGAs with Co-Optimization of {HLS} and Physical Design}, journal = {CoRR}, volume = {abs/2209.02663}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.02663}, doi = {10.48550/ARXIV.2209.02663}, eprinttype = {arXiv}, eprint = {2209.02663}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-02663.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-02216, author = {Feng Nie and Meixi Chen and Zhirui Zhang and Xu Cheng}, title = {Improving Few-Shot Performance of Language Models via Nearest Neighbor Calibration}, journal = {CoRR}, volume = {abs/2212.02216}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.02216}, doi = {10.48550/ARXIV.2212.02216}, eprinttype = {arXiv}, eprint = {2212.02216}, timestamp = {Thu, 08 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-02216.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BeniniCXZ21, author = {Luca Benini and Deming Chen and Jinjun Xiong and Zhiru Zhang}, title = {Guest Editors' Introduction: Machine Intelligence at the Edge}, journal = {{IEEE} Des. Test}, volume = {38}, number = {4}, pages = {5--6}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3016589}, doi = {10.1109/MDAT.2020.3016589}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/BeniniCXZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HaoDXBZC21, author = {Cong Hao and Jordan Dotzel and Jinjun Xiong and Luca Benini and Zhiru Zhang and Deming Chen}, title = {Enabling Design Methodologies and Future Trends for Edge {AI:} Specialization and Codesign}, journal = {{IEEE} Des. Test}, volume = {38}, number = {4}, pages = {7--26}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3069952}, doi = {10.1109/MDAT.2021.3069952}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/HaoDXBZC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sp/SunWDZ21, author = {Jie Sun and Zhiruo Wang and Xiaoyi Dang and Yang Zhang}, title = {Eye-Tracking Technology in Online Real Estate Rental}, journal = {Sci. Program.}, volume = {2021}, pages = {8851657:1--8851657:14}, year = {2021}, url = {https://doi.org/10.1155/2021/8851657}, doi = {10.1155/2021/8851657}, timestamp = {Fri, 05 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sp/SunWDZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/GuoZXCC21, author = {Junliang Guo and Zhirui Zhang and Linli Xu and Boxing Chen and Enhong Chen}, title = {Adaptive Adapters: An Efficient Way to Incorporate {BERT} Into Neural Machine Translation}, journal = {{IEEE} {ACM} Trans. Audio Speech Lang. Process.}, volume = {29}, pages = {1740--1751}, year = {2021}, url = {https://doi.org/10.1109/TASLP.2021.3076863}, doi = {10.1109/TASLP.2021.3076863}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taslp/GuoZXCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/ZhangNCS21, author = {Zhina Zhang and Yugang Niu and Zhiru Cao and Jun Song}, title = {Security Sliding Mode Control of Interval Type-2 Fuzzy Systems Subject to Cyber Attacks: The Stochastic Communication Protocol Case}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {29}, number = {2}, pages = {240--251}, year = {2021}, url = {https://doi.org/10.1109/TFUZZ.2020.2972785}, doi = {10.1109/TFUZZ.2020.2972785}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tfs/ZhangNCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkdd/WangZLZ21, author = {Yashen Wang and Huanhuan Zhang and Zhirun Liu and Qiang Zhou}, title = {Hierarchical Concept-Driven Language Model}, journal = {{ACM} Trans. Knowl. Discov. Data}, volume = {15}, number = {6}, pages = {104:1--104:22}, year = {2021}, url = {https://doi.org/10.1145/3451167}, doi = {10.1145/3451167}, timestamp = {Tue, 17 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkdd/WangZLZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trets/LaiUXFRZ21, author = {Yi{-}Hsiang Lai and Ecenur Ustun and Shaojie Xiang and Zhenman Fang and Hongbo Rong and Zhiru Zhang}, title = {Programming and Synthesis for Software-defined {FPGA} Acceleration: Status and Future Prospects}, journal = {{ACM} Trans. Reconfigurable Technol. Syst.}, volume = {14}, number = {4}, pages = {17:1--17:39}, year = {2021}, url = {https://doi.org/10.1145/3469660}, doi = {10.1145/3469660}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trets/LaiUXFRZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/ZhangFTYLZ21, author = {Yuming Zhang and Bohao Feng and Aleteng Tian and Chengxiao Yu and Zhiruo Liu and Hongke Zhang}, title = {Dynamic Transmission Rate Control for Multi-Interface IoT Devices: {A} Stochastic Optimization Framework}, journal = {Wirel. Commun. Mob. Comput.}, volume = {2021}, pages = {9974261:1--9974261:11}, year = {2021}, url = {https://doi.org/10.1155/2021/9974261}, doi = {10.1155/2021/9974261}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/ZhangFTYLZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/ZhengZGHCLC20, author = {Xin Zheng and Zhirui Zhang and Junliang Guo and Shujian Huang and Boxing Chen and Weihua Luo and Jiajun Chen}, editor = {Chengqing Zong and Fei Xia and Wenjie Li and Roberto Navigli}, title = {Adaptive Nearest Neighbor Machine Translation}, booktitle = {Proceedings of the 59th Annual Meeting of the Association for Computational Linguistics and the 11th International Joint Conference on Natural Language Processing, {ACL/IJCNLP} 2021, (Volume 2: Short Papers), Virtual Event, August 1-6, 2021}, pages = {368--374}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.acl-short.47}, doi = {10.18653/V1/2021.ACL-SHORT.47}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/ZhengZGHCLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/GaoDLZPL21, author = {Xiaohan Gao and Chenhui Deng and Mingjie Liu and Zhiru Zhang and David Z. Pan and Yibo Lin}, title = {Layout Symmetry Annotation for Analog Circuits with Graph Neural Networks}, booktitle = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference, Tokyo, Japan, January 18-21, 2021}, pages = {152--157}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3394885.3431545}, doi = {10.1145/3394885.3431545}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/GaoDLZPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/LazarevXAZD21, author = {Nikita Lazarev and Shaojie Xiang and Neil Adit and Zhiru Zhang and Christina Delimitrou}, editor = {Tim Sherwood and Emery D. Berger and Christos Kozyrakis}, title = {Dagger: efficient and fast RPCs in cloud microservices with near-memory reconfigurable NICs}, booktitle = {{ASPLOS} '21: 26th {ACM} International Conference on Architectural Support for Programming Languages and Operating Systems, Virtual Event, USA, April 19-23, 2021}, pages = {36--51}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3445814.3446696}, doi = {10.1145/3445814.3446696}, timestamp = {Sat, 30 Sep 2023 09:34:47 +0200}, biburl = {https://dblp.org/rec/conf/asplos/LazarevXAZD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhouWYZ21, author = {Yuan Zhou and Hanyu Wang and Jieming Yin and Zhiru Zhang}, title = {Distilling Arbitration Logic from Traces using Machine Learning: {A} Case Study on NoC}, booktitle = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco, CA, USA, December 5-9, 2021}, pages = {55--60}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DAC18074.2021.9586301}, doi = {10.1109/DAC18074.2021.9586301}, timestamp = {Wed, 26 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ZhouWYZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JiaoPDZ21, author = {Jiajia Jiao and Debjit Pal and Chenhui Deng and Zhiru Zhang}, title = {{GLAIVE:} Graph Learning Assisted Instruction Vulnerability Estimation}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {82--87}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9474098}, doi = {10.23919/DATE51398.2021.9474098}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JiaoPDZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RaiNMZYYFMPRABC21, author = {Shubham Rai and Walter Lau Neto and Yukio Miyasaka and Xinpei Zhang and Mingfei Yu and Qingyang Yi and Masahiro Fujita and Guilherme B. Manske and Matheus F. Pontes and Leomar S. da Rosa and Marilton S. de Aguiar and Paulo F. Butzen and Po{-}Chun Chien and Yu{-}Shan Huang and Hoa{-}Ren Wang and Jie{-}Hong R. Jiang and Jiaqi Gu and Zheng Zhao and Zixuan Jiang and David Z. Pan and Brunno A. Abreu and Isac de Souza Campos and Augusto Andre Souza Berndt and Cristina Meinhardt and J{\^{o}}nata Tyska Carvalho and Mateus Grellert and Sergio Bampi and Aditya Lohana and Akash Kumar and Wei Zeng and Azadeh Davoodi and Rasit Onur Topaloglu and Yuan Zhou and Jordan Dotzel and Yichi Zhang and Hanyu Wang and Zhiru Zhang and Valerio Tenace and Pierre{-}Emmanuel Gaillardon and Alan Mishchenko and Satrajit Chatterjee}, title = {Logic Synthesis Meets Machine Learning: Trading Exactness for Generalization}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {1026--1031}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9473972}, doi = {10.23919/DATE51398.2021.9473972}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RaiNMZYYFMPRABC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/WangZDCXL21, author = {Weizhi Wang and Zhirui Zhang and Yichao Du and Boxing Chen and Jun Xie and Weihua Luo}, editor = {Marie{-}Francine Moens and Xuanjing Huang and Lucia Specia and Scott Wen{-}tau Yih}, title = {Rethinking Zero-shot Neural Machine Translation: From a Perspective of Latent Variables}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2021, Virtual Event / Punta Cana, Dominican Republic, 16-20 November, 2021}, pages = {4321--4327}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.findings-emnlp.366}, doi = {10.18653/V1/2021.FINDINGS-EMNLP.366}, timestamp = {Fri, 16 Feb 2024 08:27:36 +0100}, biburl = {https://dblp.org/rec/conf/emnlp/WangZDCXL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/ZhengZHCXLC21, author = {Xin Zheng and Zhirui Zhang and Shujian Huang and Boxing Chen and Jun Xie and Weihua Luo and Jiajun Chen}, editor = {Marie{-}Francine Moens and Xuanjing Huang and Lucia Specia and Scott Wen{-}tau Yih}, title = {Non-Parametric Unsupervised Domain Adaptation for Neural Machine Translation}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2021, Virtual Event / Punta Cana, Dominican Republic, 16-20 November, 2021}, pages = {4234--4241}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.findings-emnlp.358}, doi = {10.18653/V1/2021.FINDINGS-EMNLP.358}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/ZhengZHCXLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fmcad/ChattopadhyayLP21, author = {Saranyu Chattopadhyay and Florian Lonsing and Luca Piccolboni and Deepraj Soni and Peng Wei and Xiaofan Zhang and Yuan Zhou and Luca P. Carloni and Deming Chen and Jason Cong and Ramesh Karri and Zhiru Zhang and Caroline Trippel and Clark W. Barrett and Subhasish Mitra}, title = {Scaling Up Hardware Accelerator Verification using {A-QED} with Functional Decomposition}, booktitle = {Formal Methods in Computer Aided Design, {FMCAD} 2021, New Haven, CT, USA, October 19-22, 2021}, pages = {42--52}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.34727/2021/isbn.978-3-85448-046-4\_12}, doi = {10.34727/2021/ISBN.978-3-85448-046-4\_12}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fmcad/ChattopadhyayLP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/GuoC0LQUZC21, author = {Licheng Guo and Yuze Chi and Jie Wang and Jason Lau and Weikang Qiao and Ecenur Ustun and Zhiru Zhang and Jason Cong}, editor = {Lesley Shannon and Michael Adler}, title = {AutoBridge: Coupling Coarse-Grained Floorplanning and Pipelining for High-Frequency {HLS} Design on Multi-Die FPGAs}, booktitle = {{FPGA} '21: The 2021 {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, Virtual Event, USA, February 28 - March 2, 2021}, pages = {81--92}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3431920.3439289}, doi = {10.1145/3431920.3439289}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpga/GuoC0LQUZC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/ZhangPLCCZ21, author = {Yichi Zhang and Junhao Pan and Xinheng Liu and Hongzheng Chen and Deming Chen and Zhiru Zhang}, editor = {Lesley Shannon and Michael Adler}, title = {FracBNN: Accurate and FPGA-Efficient Binary Neural Networks with Fractional Activations}, booktitle = {{FPGA} '21: The 2021 {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, Virtual Event, USA, February 28 - March 2, 2021}, pages = {171--182}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3431920.3439296}, doi = {10.1145/3431920.3439296}, timestamp = {Wed, 23 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpga/ZhangPLCCZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuDUZ21, author = {Yuwei Hu and Yixiao Du and Ecenur Ustun and Zhiru Zhang}, title = {GraphLily: Accelerating Graph Linear Algebra on HBM-Equipped FPGAs}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2021, Munich, Germany, November 1-4, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCAD51958.2021.9643582}, doi = {10.1109/ICCAD51958.2021.9643582}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/HuDUZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccchina/YanZM21, author = {Zhiruo Yan and Zhi Zhang and Yue Meng}, title = {Energy Efficiency Optimization for UAV-assisted mMTC Networks with Altitude Differences}, booktitle = {10th {IEEE/CIC} International Conference on Communications in China, {ICCC} 2021, Xiamen, China, July 28-30, 2021}, pages = {306--311}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCC52777.2021.9580260}, doi = {10.1109/ICCC52777.2021.9580260}, timestamp = {Fri, 28 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccchina/YanZM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/ChengDZCZF21, author = {Wuxinlin Cheng and Chenhui Deng and Zhiqiang Zhao and Yaohui Cai and Zhiru Zhang and Zhuo Feng}, editor = {Marina Meila and Tong Zhang}, title = {{SPADE:} {A} Spectral Method for Black-Box Adversarial Robustness Evaluation}, booktitle = {Proceedings of the 38th International Conference on Machine Learning, {ICML} 2021, 18-24 July 2021, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {139}, pages = {1814--1824}, publisher = {{PMLR}}, year = {2021}, url = {http://proceedings.mlr.press/v139/cheng21a.html}, timestamp = {Wed, 25 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/ChengDZCZF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse-met/ZhangTYZZ21, author = {Zhirui Zhang and Dave Towey and Zhihao Ying and Yifan Zhang and Zhi Quan Zhou}, title = {{MT4NS:} Metamorphic Testing for Network Scanning}, booktitle = {6th {IEEE/ACM} International Workshop on Metamorphic Testing, MET@ICSE 2021, Madrid, Spain, June 2, 2021}, pages = {17--23}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/MET52542.2021.00010}, doi = {10.1109/MET52542.2021.00010}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icse-met/ZhangTYZZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsoc/ZhangKYZK21, author = {Yangqi Zhang and Zhirui Kuai and Wenjin Yao and Zhiyang Zhang and Li Kuang}, editor = {Hakim Hacid and Odej Kao and Massimo Mecella and Naouel Moha and Hye{-}young Paik}, title = {KG2Code: Correct Code Examples Mining Service Based on Knowledge Graph for Fixing {API} Misuses}, booktitle = {Service-Oriented Computing - 19th International Conference, {ICSOC} 2021, Virtual Event, November 22-25, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13121}, pages = {875--882}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-91431-8\_65}, doi = {10.1007/978-3-030-91431-8\_65}, timestamp = {Fri, 19 Nov 2021 11:48:40 +0100}, biburl = {https://dblp.org/rec/conf/icsoc/ZhangKYZK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icycsee/ChenZZG21, author = {Zhiru Chen and Zhi Zhang and Xi Zhao and Liang Guo}, editor = {Jianchao Zeng and Pinle Qin and Weipeng Jing and Xianhua Song and Zeguang Lu}, title = {Generative Adversarial Network Based Status Generation Simulation Approach}, booktitle = {Data Science - 7th International Conference of Pioneering Computer Scientists, Engineers and Educators, {ICPCSEE} 2021, Taiyuan, China, September 17-20, 2021, Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {1451}, pages = {246--255}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-981-16-5940-9\_19}, doi = {10.1007/978-981-16-5940-9\_19}, timestamp = {Tue, 20 Aug 2024 07:54:43 +0200}, biburl = {https://dblp.org/rec/conf/icycsee/ChenZZG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iva/Zhang0C21, author = {Zhirun Zhang and Xinzhi Zhang and Li Chen}, title = {Informing the Design of a News Chatbot}, booktitle = {{IVA} '21: {ACM} International Conference on Intelligent Virtual Agents, Virtual Event, Japan, September 14-17, 2021}, pages = {224--231}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3472306.3478358}, doi = {10.1145/3472306.3478358}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iva/Zhang0C21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/WangDJLFHZ21, author = {Zhiruo Wang and Haoyu Dong and Ran Jia and Jia Li and Zhiyi Fu and Shi Han and Dongmei Zhang}, editor = {Feida Zhu and Beng Chin Ooi and Chunyan Miao}, title = {{TUTA:} Tree-based Transformers for Generally Structured Table Pre-training}, booktitle = {{KDD} '21: The 27th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, Virtual Event, Singapore, August 14-18, 2021}, pages = {1780--1790}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447548.3467434}, doi = {10.1145/3447548.3467434}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kdd/WangDJLFHZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/HuaZGZS21, author = {Weizhe Hua and Yichi Zhang and Chuan Guo and Zhiru Zhang and G. Edward Suh}, editor = {Marc'Aurelio Ranzato and Alina Beygelzimer and Yann N. Dauphin and Percy Liang and Jennifer Wortman Vaughan}, title = {BulletTrain: Accelerating Robust Neural Network Training via Boundary Example Mining}, booktitle = {Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, NeurIPS 2021, December 6-14, 2021, virtual}, pages = {18527--18538}, year = {2021}, url = {https://proceedings.neurips.cc/paper/2021/hash/9a1756fd0c741126d7bbd4b692ccbd91-Abstract.html}, timestamp = {Wed, 02 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/HuaZGZS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nlpcc/BaiLZXCLWZ21, author = {Xuefeng Bai and Yafu Li and Zhirui Zhang and Mingzhou Xu and Boxing Chen and Weihua Luo and Derek F. Wong and Yue Zhang}, editor = {Lu Wang and Yansong Feng and Yu Hong and Ruifang He}, title = {Sentence-State LSTMs For Sequence-to-Sequence Learning}, booktitle = {Natural Language Processing and Chinese Computing - 10th {CCF} International Conference, {NLPCC} 2021, Qingdao, China, October 13-17, 2021, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13028}, pages = {104--115}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-88480-2\_9}, doi = {10.1007/978-3-030-88480-2\_9}, timestamp = {Tue, 17 Sep 2024 08:11:20 +0200}, biburl = {https://dblp.org/rec/conf/nlpcc/BaiLZXCLWZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-03716, author = {Wuxinlin Cheng and Chenhui Deng and Zhiqiang Zhao and Yaohui Cai and Zhiru Zhang and Zhuo Feng}, title = {{SPADE:} {A} Spectral Method for Black-Box Adversarial Robustness Evaluation}, journal = {CoRR}, volume = {abs/2102.03716}, year = {2021}, url = {https://arxiv.org/abs/2102.03716}, eprinttype = {arXiv}, eprint = {2102.03716}, timestamp = {Wed, 10 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-03716.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-15750, author = {Cong Hao and Jordan Dotzel and Jinjun Xiong and Luca Benini and Zhiru Zhang and Deming Chen}, title = {Enabling Design Methodologies and Future Trends for Edge {AI:} Specialization and Co-design}, journal = {CoRR}, volume = {abs/2103.15750}, year = {2021}, url = {https://arxiv.org/abs/2103.15750}, eprinttype = {arXiv}, eprint = {2103.15750}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-15750.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-08139, author = {Junliang Guo and Zhirui Zhang and Linlin Zhang and Linli Xu and Boxing Chen and Enhong Chen and Weihua Luo}, title = {Towards Variable-Length Textual Adversarial Attacks}, journal = {CoRR}, volume = {abs/2104.08139}, year = {2021}, url = {https://arxiv.org/abs/2104.08139}, eprinttype = {arXiv}, eprint = {2104.08139}, timestamp = {Mon, 19 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-08139.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-13022, author = {Xin Zheng and Zhirui Zhang and Junliang Guo and Shujian Huang and Boxing Chen and Weihua Luo and Jiajun Chen}, title = {Adaptive Nearest Neighbor Machine Translation}, journal = {CoRR}, volume = {abs/2105.13022}, year = {2021}, url = {https://arxiv.org/abs/2105.13022}, eprinttype = {arXiv}, eprint = {2105.13022}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-13022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-01482, author = {Nikita Lazarev and Shaojie Xiang and Neil Adit and Zhiru Zhang and Christina Delimitrou}, title = {Dagger: Accelerating RPCs in Cloud Microservices Through Tightly-Coupled Reconfigurable NICs}, journal = {CoRR}, volume = {abs/2106.01482}, year = {2021}, url = {https://arxiv.org/abs/2106.01482}, eprinttype = {arXiv}, eprint = {2106.01482}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-01482.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-06081, author = {Saranyu Chattopadhyay and Florian Lonsing and Luca Piccolboni and Deepraj Soni and Peng Wei and Xiaofan Zhang and Yuan Zhou and Luca P. Carloni and Deming Chen and Jason Cong and Ramesh Karri and Zhiru Zhang and Caroline Trippel and Clark W. Barrett and Subhasish Mitra}, title = {Scaling Up Hardware Accelerator Verification using {A-QED} with Functional Decomposition}, journal = {CoRR}, volume = {abs/2108.06081}, year = {2021}, url = {https://arxiv.org/abs/2108.06081}, eprinttype = {arXiv}, eprint = {2108.06081}, timestamp = {Tue, 23 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-06081.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-06712, author = {Zhoujun Cheng and Haoyu Dong and Zhiruo Wang and Ran Jia and Jiaqi Guo and Yan Gao and Shi Han and Jian{-}Guang Lou and Dongmei Zhang}, title = {HiTab: {A} Hierarchical Table Dataset for Question Answering and Natural Language Generation}, journal = {CoRR}, volume = {abs/2108.06712}, year = {2021}, url = {https://arxiv.org/abs/2108.06712}, eprinttype = {arXiv}, eprint = {2108.06712}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-06712.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-13679, author = {Weizhi Wang and Zhirui Zhang and Junliang Guo and Yinpei Dai and Boxing Chen and Weihua Luo}, title = {Task-Oriented Dialogue System as Natural Language Generation}, journal = {CoRR}, volume = {abs/2108.13679}, year = {2021}, url = {https://arxiv.org/abs/2108.13679}, eprinttype = {arXiv}, eprint = {2108.13679}, timestamp = {Fri, 03 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-13679.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-04705, author = {Weizhi Wang and Zhirui Zhang and Yichao Du and Boxing Chen and Jun Xie and Weihua Luo}, title = {Rethinking Zero-shot Neural Machine Translation: From a Perspective of Latent Variables}, journal = {CoRR}, volume = {abs/2109.04705}, year = {2021}, url = {https://arxiv.org/abs/2109.04705}, eprinttype = {arXiv}, eprint = {2109.04705}, timestamp = {Tue, 21 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-04705.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-06604, author = {Xin Zheng and Zhirui Zhang and Shujian Huang and Boxing Chen and Jun Xie and Weihua Luo and Jiajun Chen}, title = {Non-Parametric Unsupervised Domain Adaptation for Neural Machine Translation}, journal = {CoRR}, volume = {abs/2109.06604}, year = {2021}, url = {https://arxiv.org/abs/2109.06604}, eprinttype = {arXiv}, eprint = {2109.06604}, timestamp = {Tue, 21 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-06604.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-07707, author = {Mark Buckler and Neil Adit and Yuwei Hu and Zhiru Zhang and Adrian Sampson}, title = {Dense Pruning of Pointwise Convolutions in the Frequency Domain}, journal = {CoRR}, volume = {abs/2109.07707}, year = {2021}, url = {https://arxiv.org/abs/2109.07707}, eprinttype = {arXiv}, eprint = {2109.07707}, timestamp = {Wed, 22 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-07707.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-11136, author = {Dongqi Wang and Haoran Wei and Zhirui Zhang and Shujian Huang and Jun Xie and Weihua Luo and Jiajun Chen}, title = {Non-Parametric Online Learning from Human Feedback for Neural Machine Translation}, journal = {CoRR}, volume = {abs/2109.11136}, year = {2021}, url = {https://arxiv.org/abs/2109.11136}, eprinttype = {arXiv}, eprint = {2109.11136}, timestamp = {Mon, 27 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-11136.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-14707, author = {Weizhe Hua and Yichi Zhang and Chuan Guo and Zhiru Zhang and G. Edward Suh}, title = {BulletTrain: Accelerating Robust Neural Network Training via Boundary Example Mining}, journal = {CoRR}, volume = {abs/2109.14707}, year = {2021}, url = {https://arxiv.org/abs/2109.14707}, eprinttype = {arXiv}, eprint = {2109.14707}, timestamp = {Wed, 02 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-14707.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-04563, author = {Daehyeok Kim and Nikita Lazarev and Tommy Tracy II and Farzana Siddique and Hun Namkung and James C. Hoe and Vyas Sekar and Kevin Skadron and Zhiru Zhang and Srinivasan Seshan}, title = {A Roadmap for Enabling a Future-Proof In-Network Computing Data Plane Ecosystem}, journal = {CoRR}, volume = {abs/2111.04563}, year = {2021}, url = {https://arxiv.org/abs/2111.04563}, eprinttype = {arXiv}, eprint = {2111.04563}, timestamp = {Wed, 10 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-04563.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-00133, author = {Yichi Zhang and Zhiru Zhang and Lukasz Lew}, title = {PokeBNN: {A} Binary Pursuit of Lightweight Accuracy}, journal = {CoRR}, volume = {abs/2112.00133}, year = {2021}, url = {https://arxiv.org/abs/2112.00133}, eprinttype = {arXiv}, eprint = {2112.00133}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-00133.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-03002, author = {Jiayou Zhang and Zhirui Wang and Shizhuo Zhang and Megh Manoj Bhalerao and Yucong Liu and Dawei Zhu and Sheng Wang}, title = {GraphPrompt: Biomedical Entity Normalization Using Graph-based Prompt Templates}, journal = {CoRR}, volume = {abs/2112.03002}, year = {2021}, url = {https://arxiv.org/abs/2112.03002}, eprinttype = {arXiv}, eprint = {2112.03002}, timestamp = {Wed, 20 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-03002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-10991, author = {Yichao Du and Zhirui Zhang and Weizhi Wang and Boxing Chen and Jun Xie and Tong Xu}, title = {Regularizing End-to-End Speech Translation with Triangular Decomposition Agreement}, journal = {CoRR}, volume = {abs/2112.10991}, year = {2021}, url = {https://arxiv.org/abs/2112.10991}, eprinttype = {arXiv}, eprint = {2112.10991}, timestamp = {Tue, 04 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-10991.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangZSLZ20, author = {Yashen Wang and Huanhuan Zhang and Ge Shi and Zhirun Liu and Qiang Zhou}, title = {A Model of Text-Enhanced Knowledge Graph Representation Learning With Mutual Attention}, journal = {{IEEE} Access}, volume = {8}, pages = {52895--52905}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2981212}, doi = {10.1109/ACCESS.2020.2981212}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WangZSLZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/LazarevAXZD20, author = {Nikita Lazarev and Neil Adit and Shaojie Xiang and Zhiru Zhang and Christina Delimitrou}, title = {Dagger: Towards Efficient RPCs in Cloud Microservices With Near-Memory Reconfigurable NICs}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {19}, number = {2}, pages = {134--138}, year = {2020}, url = {https://doi.org/10.1109/LCA.2020.3020064}, doi = {10.1109/LCA.2020.3020064}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/LazarevAXZD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnsm/WangZQL20, author = {Bingchun Wang and Zhirui Zhang and Xiaogang Qi and Lifang Liu}, title = {Identify Critical Nodes in Network Cascading Failure Based on Data Analysis}, journal = {J. Netw. Syst. Manag.}, volume = {28}, number = {1}, pages = {21--34}, year = {2020}, url = {https://doi.org/10.1007/s10922-019-09499-8}, doi = {10.1007/S10922-019-09499-8}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnsm/WangZQL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/HuZZSG20, author = {Qiang Hu and Jun Zhou and Xiaoyun Zhang and Zhiru Shi and Zhiyong Gao}, title = {Viewport-adaptive 360-degree video coding}, journal = {Multim. Tools Appl.}, volume = {79}, number = {17-18}, pages = {12205--12226}, year = {2020}, url = {https://doi.org/10.1007/s11042-019-08390-7}, doi = {10.1007/S11042-019-08390-7}, timestamp = {Mon, 31 Oct 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/HuZZSG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ZhangHZY20, author = {Ping Zhang and Dongyue He and Chen Zhang and Zhiruo Yan}, title = {{FDTD} Simulation: Simultaneous Measurement of the Refractive Index and the Pressure Using Microdisk Resonator with Two Whispering-Gallery Modes}, journal = {Sensors}, volume = {20}, number = {14}, pages = {3955}, year = {2020}, url = {https://doi.org/10.3390/s20143955}, doi = {10.3390/S20143955}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ZhangHZY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/JiZDZCL20, author = {Baijun Ji and Zhirui Zhang and Xiangyu Duan and Min Zhang and Boxing Chen and Weihua Luo}, title = {Cross-Lingual Pre-Training Based Transfer for Zero-Shot Neural Machine Translation}, booktitle = {The Thirty-Fourth {AAAI} Conference on Artificial Intelligence, {AAAI} 2020, The Thirty-Second Innovative Applications of Artificial Intelligence Conference, {IAAI} 2020, The Tenth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2020, New York, NY, USA, February 7-12, 2020}, pages = {115--122}, publisher = {{AAAI} Press}, year = {2020}, url = {https://doi.org/10.1609/aaai.v34i01.5341}, doi = {10.1609/AAAI.V34I01.5341}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/JiZDZCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahfe/ChenZLWZ20, author = {Jian{-}Ping Chen and Jin{-}Yi Zhi and Zhi{-}Ruo Lin and Jin Wang and Lili Zhang}, editor = {Waldemar Karwowski and Ravindra S. Goonetilleke and Shuping Xiong and Richard H. M. Goossens and Atsuo Murata}, title = {Research on the Influence of Physical Environment in Civil Aircraft Cabin on Seat Comfort}, booktitle = {Advances in Physical, Social {\&} Occupational Ergonomics - Proceedings of the {AHFE} 2020 Virtual Conferences on Physical Ergonomics and Human Factors, Social {\&} Occupational Ergonomics and Cross-Cultural Decision Making, July 16-20, 2020, {USA}}, series = {Advances in Intelligent Systems and Computing}, volume = {1215}, pages = {259--265}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-51549-2\_34}, doi = {10.1007/978-3-030-51549-2\_34}, timestamp = {Tue, 07 Nov 2023 08:36:40 +0100}, biburl = {https://dblp.org/rec/conf/ahfe/ChenZLWZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GuoLC0YCZC20, author = {Licheng Guo and Jason Lau and Yuze Chi and Jie Wang and Cody Hao Yu and Zhe Chen and Zhiru Zhang and Jason Cong}, title = {Analysis and Optimization of the Implicit Broadcasts in {FPGA} {HLS} to Improve Maximum Frequency}, booktitle = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco, CA, USA, July 20-24, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DAC18072.2020.9218718}, doi = {10.1109/DAC18072.2020.9218718}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/GuoLC0YCZC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SinghLCS0ZZCCRZ20, author = {Eshan Singh and Florian Lonsing and Saranyu Chattopadhyay and Maxwell Strange and Peng Wei and Xiaofan Zhang and Yuan Zhou and Deming Chen and Jason Cong and Priyanka Raina and Zhiru Zhang and Clark W. Barrett and Subhasish Mitra}, title = {{A-QED} Verification of Hardware Accelerators}, booktitle = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco, CA, USA, July 20-24, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DAC18072.2020.9218715}, doi = {10.1109/DAC18072.2020.9218715}, timestamp = {Tue, 23 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/SinghLCS0ZZCCRZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/WeiZCL20, author = {Hao{-}Ran Wei and Zhirui Zhang and Boxing Chen and Weihua Luo}, editor = {Bonnie Webber and Trevor Cohn and Yulan He and Yang Liu}, title = {Iterative Domain-Repaired Back-Translation}, booktitle = {Proceedings of the 2020 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2020, Online, November 16-20, 2020}, pages = {5884--5893}, publisher = {Association for Computational Linguistics}, year = {2020}, url = {https://doi.org/10.18653/v1/2020.emnlp-main.474}, doi = {10.18653/V1/2020.EMNLP-MAIN.474}, timestamp = {Tue, 20 Aug 2024 07:54:43 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/WeiZCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/GuoLCWYCZC20, author = {Licheng Guo and Jason Lau and Yuze Chi and Jie Wang and Cody Hao Yu and Zhe Chen and Zhiru Zhang and Jason Cong}, editor = {Stephen Neuendorffer and Lesley Shannon}, title = {Analysis and Optimization of the Implicit Broadcasts in {FPGA} {HLS} to Improve Maximum Frequency}, booktitle = {{FPGA} '20: The 2020 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, Seaside, CA, USA, February 23-25, 2020}, pages = {311}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3373087.3375332}, doi = {10.1145/3373087.3375332}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpga/GuoLCWYCZC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/SrivastavaJSRAZ20, author = {Nitish Kumar Srivastava and Hanchen Jin and Shaden Smith and Hongbo Rong and David H. Albonesi and Zhiru Zhang}, title = {Tensaurus: {A} Versatile Accelerator for Mixed Sparse-Dense Tensor Computations}, booktitle = {{IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2020, San Diego, CA, USA, February 22-26, 2020}, pages = {689--702}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HPCA47549.2020.00062}, doi = {10.1109/HPCA47549.2020.00062}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/SrivastavaJSRAZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icann/ZhangHZLL20, author = {Lei Zhang and Shuai Han and Zhiruo Zhang and Lefan Li and Shuai L{\"{u}}}, editor = {Igor Farkas and Paolo Masulli and Stefan Wermter}, title = {Deep Recurrent Deterministic Policy Gradient for Physical Control}, booktitle = {Artificial Neural Networks and Machine Learning - {ICANN} 2020 - 29th International Conference on Artificial Neural Networks, Bratislava, Slovakia, September 15-18, 2020, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {12397}, pages = {257--268}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-61616-8\_21}, doi = {10.1007/978-3-030-61616-8\_21}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icann/ZhangHZLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LaiRZZCJ0SZ0ZCG20, author = {Yi{-}Hsiang Lai and Hongbo Rong and Size Zheng and Weihao Zhang and Xiuping Cui and Yunshan Jia and Jie Wang and Brendan Sullivan and Zhiru Zhang and Yun Liang and Youhui Zhang and Jason Cong and Nithin George and Jose Alvarez and Christopher J. Hughes and Pradeep Dubey}, title = {SuSy: {A} Programming Model for Productive Construction of High-Performance Systolic Arrays on FPGAs}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2020, San Diego, CA, USA, November 2-5, 2020}, pages = {73:1--73:9}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1145/3400302.3415644}, doi = {10.1145/3400302.3415644}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LaiRZZCJ0SZ0ZCG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/UstunDP0Z20, author = {Ecenur Ustun and Chenhui Deng and Debjit Pal and Zhijing Li and Zhiru Zhang}, title = {Accurate Operation Delay Prediction for {FPGA} {HLS} Using Graph Neural Networks}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2020, San Diego, CA, USA, November 2-5, 2020}, pages = {87:1--87:9}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1145/3400302.3415657}, doi = {10.1145/3400302.3415657}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/UstunDP0Z20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitee/ZhangZL20, author = {Ziqiang Zhang and Jinxiong Zhao and Zhiru Li}, title = {Preliminary Analysis of The Application and Development of Big Data, Cloud Computing, IoT, Mobile Internet, AI, and Blockchain In The Power Scene}, booktitle = {{ICITEE2020:} The 3rd International Conference on Information Technologies and Electrical Engineering, Changde City, Hunan, China, December 3-5, 2020}, pages = {526--530}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3452940.3453043}, doi = {10.1145/3452940.3453043}, timestamp = {Fri, 21 May 2021 08:08:24 +0200}, biburl = {https://dblp.org/rec/conf/icitee/ZhangZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/DengZWZF20, author = {Chenhui Deng and Zhiqiang Zhao and Yongyu Wang and Zhiru Zhang and Zhuo Feng}, title = {GraphZoom: {A} Multi-level Spectral Approach for Accurate and Scalable Graph Embedding}, booktitle = {8th International Conference on Learning Representations, {ICLR} 2020, Addis Ababa, Ethiopia, April 26-30, 2020}, publisher = {OpenReview.net}, year = {2020}, url = {https://openreview.net/forum?id=r1lGO0EKDH}, timestamp = {Thu, 07 May 2020 17:11:47 +0200}, biburl = {https://dblp.org/rec/conf/iclr/DengZWZF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ZhangZHXSZ20, author = {Yichi Zhang and Ritchie Zhao and Weizhe Hua and Nayun Xu and G. Edward Suh and Zhiru Zhang}, title = {Precision Gating: Improving Neural Network Efficiency with Dynamic Dual-Precision Activations}, booktitle = {8th International Conference on Learning Representations, {ICLR} 2020, Addis Ababa, Ethiopia, April 26-30, 2020}, publisher = {OpenReview.net}, year = {2020}, url = {https://openreview.net/forum?id=SJgVU0EKwS}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iclr/ZhangZHXSZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/SrivastavaJLAZ20, author = {Nitish Kumar Srivastava and Hanchen Jin and Jie Liu and David H. Albonesi and Zhiru Zhang}, title = {MatRaptor: {A} Sparse-Sparse Matrix Multiplication Accelerator Based on Row-Wise Product}, booktitle = {53rd Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2020, Athens, Greece, October 17-21, 2020}, pages = {766--780}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/MICRO50266.2020.00068}, doi = {10.1109/MICRO50266.2020.00068}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/SrivastavaJLAZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/GuoZXWCC20, author = {Junliang Guo and Zhirui Zhang and Linli Xu and Hao{-}Ran Wei and Boxing Chen and Enhong Chen}, editor = {Hugo Larochelle and Marc'Aurelio Ranzato and Raia Hadsell and Maria{-}Florina Balcan and Hsuan{-}Tien Lin}, title = {Incorporating {BERT} into Parallel Sequence Decoding with Adapters}, booktitle = {Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, NeurIPS 2020, December 6-12, 2020, virtual}, year = {2020}, url = {https://proceedings.neurips.cc/paper/2020/hash/7a6a74cbe87bc60030a4bd041dd47b78-Abstract.html}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/GuoZXWCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/SunXSLCZZLSCC20, author = {Zhenxing Sun and Rulei Xiao and Zhirui Su and Gen Lv and Zhao Chen and Jilin Zheng and Yunshan Zhang and Jun Lu and Yue{-}chun Shi and Yi{-}Jen Chiu and Xiangfei Chen}, title = {10-nm-Wide Tunable In-Series Laser Array with High Single-Mode Stability}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2020, San Diego, CA, USA, March 8-12, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9083204}, timestamp = {Wed, 07 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/SunXSLCZZLSCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/NigamATLBYKSZ20, author = {Rachit Nigam and Sachille Atapattu and Samuel Thomas and Zhijing Li and Theodore Bauer and Yuwei Ye and Apurva Koti and Adrian Sampson and Zhiru Zhang}, editor = {Alastair F. Donaldson and Emina Torlak}, title = {Predictable accelerator design with time-sensitive affine types}, booktitle = {Proceedings of the 41st {ACM} {SIGPLAN} International Conference on Programming Language Design and Implementation, {PLDI} 2020, London, UK, June 15-20, 2020}, pages = {393--407}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3385412.3385974}, doi = {10.1145/3385412.3385974}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pldi/NigamATLBYKSZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/HuYWYZL0ZW20, author = {Yuwei Hu and Zihao Ye and Minjie Wang and Jiali Yu and Da Zheng and Mu Li and Zheng Zhang and Zhiru Zhang and Yida Wang}, editor = {Christine Cuicchi and Irene Qualters and William T. Kramer}, title = {FeatGraph: a flexible and efficient backend for graph neural network systems}, booktitle = {Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, {SC} 2020, Virtual Event / Atlanta, Georgia, USA, November 9-19, 2020}, pages = {71}, publisher = {{IEEE/ACM}}, year = {2020}, url = {https://doi.org/10.1109/SC41405.2020.00075}, doi = {10.1109/SC41405.2020.00075}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/HuYWYZL0ZW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-07136, author = {Yichi Zhang and Ritchie Zhao and Weizhe Hua and Nayun Xu and G. Edward Suh and Zhiru Zhang}, title = {Precision Gating: Improving Neural Network Efficiency with Dynamic Dual-Precision Activations}, journal = {CoRR}, volume = {abs/2002.07136}, year = {2020}, url = {https://arxiv.org/abs/2002.07136}, eprinttype = {arXiv}, eprint = {2002.07136}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-07136.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-04852, author = {Rachit Nigam and Sachille Atapattu and Samuel Thomas and Zhijing Li and Theodore Bauer and Yuwei Ye and Apurva Koti and Adrian Sampson and Zhiru Zhang}, title = {Predictable Accelerator Design with Time-Sensitive Affine Types}, journal = {CoRR}, volume = {abs/2004.04852}, year = {2020}, url = {https://arxiv.org/abs/2004.04852}, eprinttype = {arXiv}, eprint = {2004.04852}, timestamp = {Tue, 18 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-04852.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-09679, author = {Weizhe Hua and Muhammad Umar and Zhiru Zhang and G. Edward Suh}, title = {MgX: Near-Zero Overhead Memory Protection with an Application to Secure {DNN} Acceleration}, journal = {CoRR}, volume = {abs/2004.09679}, year = {2020}, url = {https://arxiv.org/abs/2004.09679}, eprinttype = {arXiv}, eprint = {2004.09679}, timestamp = {Thu, 23 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-09679.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-08622, author = {Nikita Lazarev and Neil Adit and Shaojie Xiang and Zhiru Zhang and Christina Delimitrou}, title = {Dagger: Towards Efficient RPCs in Cloud Microservices with Near-Memory Reconfigurable NICs}, journal = {CoRR}, volume = {abs/2007.08622}, year = {2020}, url = {https://arxiv.org/abs/2007.08622}, eprinttype = {arXiv}, eprint = {2007.08622}, timestamp = {Tue, 28 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-08622.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-11359, author = {Yuwei Hu and Zihao Ye and Minjie Wang and Jiali Yu and Da Zheng and Mu Li and Zheng Zhang and Zhiru Zhang and Yida Wang}, title = {FeatGraph: {A} Flexible and Efficient Backend for Graph Neural Network Systems}, journal = {CoRR}, volume = {abs/2008.11359}, year = {2020}, url = {https://arxiv.org/abs/2008.11359}, eprinttype = {arXiv}, eprint = {2008.11359}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-11359.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-11632, author = {Weizhe Hua and Muhammad Umar and Zhiru Zhang and G. Edward Suh}, title = {GuardNN: Secure {DNN} Accelerator for Privacy-Preserving Deep Learning}, journal = {CoRR}, volume = {abs/2008.11632}, year = {2020}, url = {https://arxiv.org/abs/2008.11632}, eprinttype = {arXiv}, eprint = {2008.11632}, timestamp = {Thu, 23 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-11632.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-02473, author = {Hao{-}Ran Wei and Zhirui Zhang and Boxing Chen and Weihua Luo}, title = {Iterative Domain-Repaired Back-Translation}, journal = {CoRR}, volume = {abs/2010.02473}, year = {2020}, url = {https://arxiv.org/abs/2010.02473}, eprinttype = {arXiv}, eprint = {2010.02473}, timestamp = {Mon, 12 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-02473.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-06138, author = {Junliang Guo and Zhirui Zhang and Linli Xu and Hao{-}Ran Wei and Boxing Chen and Enhong Chen}, title = {Incorporating {BERT} into Parallel Sequence Decoding with Adapters}, journal = {CoRR}, volume = {abs/2010.06138}, year = {2020}, url = {https://arxiv.org/abs/2010.06138}, eprinttype = {arXiv}, eprint = {2010.06138}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-06138.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-12537, author = {Zhiruo Wang and Haoyu Dong and Ran Jia and Jia Li and Zhiyi Fu and Shi Han and Dongmei Zhang}, title = {Structure-aware Pre-training for Table Understanding with Tree-based Transformers}, journal = {CoRR}, volume = {abs/2010.12537}, year = {2020}, url = {https://arxiv.org/abs/2010.12537}, eprinttype = {arXiv}, eprint = {2010.12537}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-12537.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-02530, author = {Shubham Rai and Walter Lau Neto and Yukio Miyasaka and Xinpei Zhang and Mingfei Yu and Qingyang Yi and Masahiro Fujita and Guilherme B. Manske and Matheus F. Pontes and Leomar S. da Rosa Jr. and Marilton S. de Aguiar and Paulo F. Butzen and Po{-}Chun Chien and Yu{-}Shan Huang and Hoa{-}Ren Wang and Jie{-}Hong R. Jiang and Jiaqi Gu and Zheng Zhao and Zixuan Jiang and David Z. Pan and Brunno A. Abreu and Isac de Souza Campos and Augusto Andre Souza Berndt and Cristina Meinhardt and J{\^{o}}nata Tyska Carvalho and Mateus Grellert and Sergio Bampi and Aditya Lohana and Akash Kumar and Wei Zeng and Azadeh Davoodi and Rasit Onur Topaloglu and Yuan Zhou and Jordan Dotzel and Yichi Zhang and Hanyu Wang and Zhiru Zhang and Valerio Tenace and Pierre{-}Emmanuel Gaillardon and Alan Mishchenko and Satrajit Chatterjee}, title = {Logic Synthesis Meets Machine Learning: Trading Exactness for Generalization}, journal = {CoRR}, volume = {abs/2012.02530}, year = {2020}, url = {https://arxiv.org/abs/2012.02530}, eprinttype = {arXiv}, eprint = {2012.02530}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-02530.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-12206, author = {Yichi Zhang and Junhao Pan and Xinheng Liu and Hongzheng Chen and Deming Chen and Zhiru Zhang}, title = {FracBNN: Accurate and FPGA-Efficient Binary Neural Networks with Fractional Activations}, journal = {CoRR}, volume = {abs/2012.12206}, year = {2020}, url = {https://arxiv.org/abs/2012.12206}, eprinttype = {arXiv}, eprint = {2012.12206}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-12206.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/LiXSZH19, author = {Zhiru Li and Wei Xu and Huibin Shi and Qingshan Zhang and Fengyi He}, title = {Multiobjective Optimization Model of Production Planning in Cloud Manufacturing Based on {TOPSIS} Method with Combined Weights}, journal = {Complex.}, volume = {2019}, pages = {7503176:1--7503176:15}, year = {2019}, url = {https://doi.org/10.1155/2019/7503176}, doi = {10.1155/2019/7503176}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/complexity/LiXSZH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChenZZHSS19, author = {Peng Chen and Feifei Zhang and Zhirui Zong and Suoping Hu and Teerachot Siriburanon and Robert Bogdan Staszewski}, title = {A 31-{\(\mathrm{\mu}\)}W, 148-fs Step, 9-bit Capacitor-DAC-Based Constant-Slope Digital-to-Time Converter in 28-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {11}, pages = {3075--3085}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2019.2939663}, doi = {10.1109/JSSC.2019.2939663}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChenZZHSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/WangSDZYL19, author = {Zhirui Wang and Xian Sun and Wenhui Diao and Yue Zhang and Menglong Yan and Lan Lan}, title = {Ground Moving Target Indication Based on Optical Flow in Single-Channel {SAR}}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {16}, number = {7}, pages = {1051--1055}, year = {2019}, url = {https://doi.org/10.1109/LGRS.2019.2892488}, doi = {10.1109/LGRS.2019.2892488}, timestamp = {Tue, 30 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/lgrs/WangSDZYL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/FuDZWYS19, author = {Kun Fu and Wei Dai and Yue Zhang and Zhirui Wang and Menglong Yan and Xian Sun}, title = {MultiCAM: Multiple Class Activation Mapping for Aircraft Recognition in Remote Sensing Images}, journal = {Remote. Sens.}, volume = {11}, number = {5}, pages = {544}, year = {2019}, url = {https://doi.org/10.3390/rs11050544}, doi = {10.3390/RS11050544}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/FuDZWYS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/CuiGWCZCQLRZYYP19, author = {Zhiru Cui and Jianhui Gong and Chen Wang and Nana Che and Yanshuang Zhao and Quan Chai and Haifeng Qi and Elfed Lewis and Jing Ren and Jianzhong Zhang and Jun Yang and Libo Yuan and Gang{-}Ding Peng}, title = {Observing the Viscous Relaxation Process of Silica Optical Fiber at {\textasciitilde}1000 {\textdegree}C Using Regenerated Fiber Bragg Grating}, journal = {Sensors}, volume = {19}, number = {10}, pages = {2293}, year = {2019}, url = {https://doi.org/10.3390/s19102293}, doi = {10.3390/S19102293}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/CuiGWCZCQLRZYYP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trets/LiuZ19, author = {Gai Liu and Zhiru Zhang}, title = {PIMap: {A} Flexible Framework for Improving LUT-Based Technology Mapping via Parallelized Iterative Optimization}, journal = {{ACM} Trans. Reconfigurable Technol. Syst.}, volume = {11}, number = {4}, pages = {23:1--23:23}, year = {2019}, url = {https://doi.org/10.1145/3268344}, doi = {10.1145/3268344}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/trets/LiuZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/RenZ00M19, author = {Shuo Ren and Zhirui Zhang and Shujie Liu and Ming Zhou and Shuai Ma}, title = {Unsupervised Neural Machine Translation with {SMT} as Posterior Regularization}, booktitle = {The Thirty-Third {AAAI} Conference on Artificial Intelligence, {AAAI} 2019, The Thirty-First Innovative Applications of Artificial Intelligence Conference, {IAAI} 2019, The Ninth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2019, Honolulu, Hawaii, USA, January 27 - February 1, 2019}, pages = {241--248}, publisher = {{AAAI} Press}, year = {2019}, url = {https://doi.org/10.1609/aaai.v33i01.3301241}, doi = {10.1609/AAAI.V33I01.3301241}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/RenZ00M19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ZhangW0L0X19, author = {Zhirui Zhang and Shuangzhi Wu and Shujie Liu and Mu Li and Ming Zhou and Tong Xu}, title = {Regularizing Neural Machine Translation by Target-Bidirectional Agreement}, booktitle = {The Thirty-Third {AAAI} Conference on Artificial Intelligence, {AAAI} 2019, The Thirty-First Innovative Applications of Artificial Intelligence Conference, {IAAI} 2019, The Ninth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2019, Honolulu, Hawaii, USA, January 27 - February 1, 2019}, pages = {443--450}, publisher = {{AAAI} Press}, year = {2019}, url = {https://doi.org/10.1609/aaai.v33i01.3301443}, doi = {10.1609/AAAI.V33I01.3301443}, timestamp = {Mon, 12 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ZhangW0L0X19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/ZhangLGC19, author = {Zhirui Zhang and Xiujun Li and Jianfeng Gao and Enhong Chen}, editor = {Anna Korhonen and David R. Traum and Llu{\'{\i}}s M{\`{a}}rquez}, title = {Budgeted Policy Learning for Task-Oriented Dialogue Systems}, booktitle = {Proceedings of the 57th Conference of the Association for Computational Linguistics, {ACL} 2019, Florence, Italy, July 28- August 2, 2019, Volume 1: Long Papers}, pages = {3742--3751}, publisher = {Association for Computational Linguistics}, year = {2019}, url = {https://doi.org/10.18653/v1/p19-1364}, doi = {10.18653/V1/P19-1364}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/ZhangLGC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WuZBXHS19, author = {Minye Wu and Guli Zhang and Ning Bi and Ling Xie and Yuanquan Hu and Zhiru Shi}, title = {Multiview Vehicle Tracking by Graph Matching Model}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {29--36}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/AI\_City/Wu\_Multiview\_Vehicle\_Tracking\_by\_Graph\_Matching\_Model\_CVPRW\_2019\_paper.html}, timestamp = {Mon, 30 Aug 2021 17:04:30 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/WuZBXHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ZhaoHDSZ19, author = {Ritchie Zhao and Yuwei Hu and Jordan Dotzel and Christopher De Sa and Zhiru Zhang}, title = {Building Efficient Deep Neural Networks With Unitary Group Convolutions}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {11303--11312}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPR\_2019/html/Zhao\_Building\_Efficient\_Deep\_Neural\_Networks\_With\_Unitary\_Group\_Convolutions\_CVPR\_2019\_paper.html}, doi = {10.1109/CVPR.2019.01156}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/ZhaoHDSZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DaiZ19, author = {Steve Dai and Zhiru Zhang}, title = {Improving Scalability of Exact Modulo Scheduling with Specialized Conflict-Driven Learning}, booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, pages = {127}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316781.3317842}, doi = {10.1145/3316781.3317842}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DaiZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JiangJSZ19, author = {Zhenghong Jiang and Hanchen Jin and G. Edward Suh and Zhiru Zhang}, title = {Designing Secure Cryptographic Accelerators with Information Flow Enforcement: {A} Case Study on {AES}}, booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, pages = {59}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316781.3317798}, doi = {10.1145/3316781.3317798}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JiangJSZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuPZ19, author = {Gai Liu and Joseph Primmer and Zhiru Zhang}, title = {Rapid Generation of High-Qality {RISC-V} Processors from Functional Instruction Set Specifications}, booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, pages = {122}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316781.3317890}, doi = {10.1145/3316781.3317890}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiuPZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YuZ19, author = {Cunxi Yu and Zhiru Zhang}, title = {Painting on Placement: Forecasting Routing Congestion using Conditional Generative Adversarial Nets}, booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, pages = {219}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316781.3317876}, doi = {10.1145/3316781.3317876}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/YuZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhouRZKKZ19, author = {Yuan Zhou and Haoxing Ren and Yanqing Zhang and Ben Keller and Brucek Khailany and Zhiru Zhang}, title = {{PRIMAL:} Power Inference using Machine Learning}, booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, pages = {39}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316781.3317884}, doi = {10.1145/3316781.3317884}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhouRZKKZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/SrivastavaRBFCZ19, author = {Nitish Kumar Srivastava and Hongbo Rong and Prithayan Barua and Guanyu Feng and Huanqi Cao and Zhiru Zhang and David H. Albonesi and Vivek Sarkar and Wenguang Chen and Paul Petersen and Geoff Lowney and Adam Herr and Christopher J. Hughes and Timothy G. Mattson and Pradeep Dubey}, title = {T2S-Tensor: Productively Generating High-Performance Spatial Hardware for Dense Tensor Computations}, booktitle = {27th {IEEE} Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2019, San Diego, CA, USA, April 28 - May 1, 2019}, pages = {181--189}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/FCCM.2019.00033}, doi = {10.1109/FCCM.2019.00033}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/SrivastavaRBFCZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/UstunXGYZ19, author = {Ecenur Ustun and Shaojie Xiang and Jinny Gui and Cunxi Yu and Zhiru Zhang}, title = {{LAMDA:} Learning-Assisted Multi-stage Autotuning for {FPGA} Design Closure}, booktitle = {27th {IEEE} Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2019, San Diego, CA, USA, April 28 - May 1, 2019}, pages = {74--77}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/FCCM.2019.00020}, doi = {10.1109/FCCM.2019.00020}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fccm/UstunXGYZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/LaiCHWYZCZ19, author = {Yi{-}Hsiang Lai and Yuze Chi and Yuwei Hu and Jie Wang and Cody Hao Yu and Yuan Zhou and Jason Cong and Zhiru Zhang}, editor = {Kia Bazargan and Stephen Neuendorffer}, title = {HeteroCL: {A} Multi-Paradigm Programming Infrastructure for Software-Defined Reconfigurable Computing}, booktitle = {Proceedings of the 2019 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, {FPGA} 2019, Seaside, CA, USA, February 24-26, 2019}, pages = {242--251}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3289602.3293910}, doi = {10.1145/3289602.3293910}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpga/LaiCHWYZCZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/LiangSWZW19, author = {Zhirui Liang and Zhengxiang Song and Jianhua Wang and Guogang Zhang and Xian Wang}, title = {Optimal Scheduling Scheme and Battery configuration for Microgrids with Dual Battery Energy Storage Systems}, booktitle = {2019 {IEEE} Industry Applications Society Annual Meeting, Baltimore, MD, USA, September 29 - Oct. 3, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IAS.2019.8911968}, doi = {10.1109/IAS.2019.8911968}, timestamp = {Thu, 05 Dec 2019 14:48:57 +0100}, biburl = {https://dblp.org/rec/conf/iasam/LiangSWZW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/ZhaoHDSZ19, author = {Ritchie Zhao and Yuwei Hu and Jordan Dotzel and Christopher De Sa and Zhiru Zhang}, editor = {Kamalika Chaudhuri and Ruslan Salakhutdinov}, title = {Improving Neural Network Quantization without Retraining using Outlier Channel Splitting}, booktitle = {Proceedings of the 36th International Conference on Machine Learning, {ICML} 2019, 9-15 June 2019, Long Beach, California, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {97}, pages = {7543--7552}, publisher = {{PMLR}}, year = {2019}, url = {http://proceedings.mlr.press/v97/zhao19c.html}, timestamp = {Tue, 11 Jun 2019 15:37:38 +0200}, biburl = {https://dblp.org/rec/conf/icml/ZhaoHDSZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/0016WZZYS19, author = {Yue Zhang and Zhirui Wang and Liangjin Zhao and Wenkai Zhang and Menglong Yan and Xian Sun}, title = {Geometrical Model for the Layover of Gable-Roofed Buildings and its Application in Building Reconstruction}, booktitle = {2019 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2019, Yokohama, Japan, July 28 - August 2, 2019}, pages = {3348--3351}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IGARSS.2019.8900242}, doi = {10.1109/IGARSS.2019.8900242}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/0016WZZYS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ZhangSZYWWF19, author = {Tengfei Zhang and Xian Sun and Yue Zhang and Menglong Yan and Yaoling Wang and Zhirui Wang and Kun Fu}, title = {A Training-Free, One-Shot Detection Framework for Geospatial Objects in Remote Sensing Images}, booktitle = {2019 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2019, Yokohama, Japan, July 28 - August 2, 2019}, pages = {1414--1417}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IGARSS.2019.8898679}, doi = {10.1109/IGARSS.2019.8898679}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/ZhangSZYWWF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/HuaZSZS19, author = {Weizhe Hua and Yuan Zhou and Christopher De Sa and Zhiru Zhang and G. Edward Suh}, title = {Boosting the Performance of {CNN} Accelerators with Dynamic Fine-Grained Channel Gating}, booktitle = {Proceedings of the 52nd Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2019, Columbus, OH, USA, October 12-16, 2019}, pages = {139--150}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3352460.3358283}, doi = {10.1145/3352460.3358283}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/HuaZSZS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/HuaZSZS19, author = {Weizhe Hua and Yuan Zhou and Christopher De Sa and Zhiru Zhang and G. Edward Suh}, editor = {Hanna M. Wallach and Hugo Larochelle and Alina Beygelzimer and Florence d'Alch{\'{e}}{-}Buc and Emily B. Fox and Roman Garnett}, title = {Channel Gating Neural Networks}, booktitle = {Advances in Neural Information Processing Systems 32: Annual Conference on Neural Information Processing Systems 2019, NeurIPS 2019, December 8-14, 2019, Vancouver, BC, Canada}, pages = {1884--1894}, year = {2019}, url = {https://proceedings.neurips.cc/paper/2019/hash/68b1fbe7f16e4ae3024973f12f3cb313-Abstract.html}, timestamp = {Mon, 16 May 2022 15:41:51 +0200}, biburl = {https://dblp.org/rec/conf/nips/HuaZSZS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/secon/ZhangWY19, author = {Lei Zhang and Zhirui Wang and Liu Yang}, title = {Commercial Wi-Fi Based Fall Detection with Environment Influence Mitigation}, booktitle = {16th Annual {IEEE} International Conference on Sensing, Communication, and Networking, {SECON} 2019, Boston, MA, USA, June 10-13, 2019}, pages = {1--9}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SAHCN.2019.8824989}, doi = {10.1109/SAHCN.2019.8824989}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/secon/ZhangWY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/RovinskiZAGXTDA19, author = {Austin Rovinski and Chun Zhao and Khalid Al{-}Hawaj and Paul Gao and Shaolin Xie and Christopher Torng and Scott Davidson and Aporva Amarnath and Luis Vega and Bandhav Veluri and Anuj Rao and Tutu Ajayi and Julian Puscar and Steve Dai and Ritchie Zhao and Dustin Richmond and Zhiru Zhang and Ian Galton and Christopher Batten and Michael B. Taylor and Ronald G. Dreslinski}, title = {A 1.4 GHz 695 Giga Risc-V Inst/s 496-Core Manycore Processor With Mesh On-Chip Network and an All-Digital Synthesized {PLL} in 16nm {CMOS}}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {30}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/VLSIC.2019.8778031}, doi = {10.23919/VLSIC.2019.8778031}, timestamp = {Tue, 12 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/RovinskiZAGXTDA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-04112, author = {Shuo Ren and Zhirui Zhang and Shujie Liu and Ming Zhou and Shuai Ma}, title = {Unsupervised Neural Machine Translation with {SMT} as Posterior Regularization}, journal = {CoRR}, volume = {abs/1901.04112}, year = {2019}, url = {http://arxiv.org/abs/1901.04112}, eprinttype = {arXiv}, eprint = {1901.04112}, timestamp = {Tue, 10 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-04112.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-09504, author = {Ritchie Zhao and Yuwei Hu and Jordan Dotzel and Christopher De Sa and Zhiru Zhang}, title = {Improving Neural Network Quantization without Retraining using Outlier Channel Splitting}, journal = {CoRR}, volume = {abs/1901.09504}, year = {2019}, url = {http://arxiv.org/abs/1901.09504}, eprinttype = {arXiv}, eprint = {1901.09504}, timestamp = {Sat, 02 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-09504.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-07077, author = {Cunxi Yu and Zhiru Zhang}, title = {Painting on Placement: Forecasting Routing Congestion using Conditional Generative Adversarial Nets}, journal = {CoRR}, volume = {abs/1904.07077}, year = {2019}, url = {http://arxiv.org/abs/1904.07077}, eprinttype = {arXiv}, eprint = {1904.07077}, timestamp = {Thu, 25 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-07077.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1906-00499, author = {Zhirui Zhang and Xiujun Li and Jianfeng Gao and Enhong Chen}, title = {Budgeted Policy Learning for Task-Oriented Dialogue Systems}, journal = {CoRR}, volume = {abs/1906.00499}, year = {2019}, url = {http://arxiv.org/abs/1906.00499}, eprinttype = {arXiv}, eprint = {1906.00499}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1906-00499.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1910-02370, author = {Chenhui Deng and Zhiqiang Zhao and Yongyu Wang and Zhiru Zhang and Zhuo Feng}, title = {GraphZoom: {A} multi-level spectral approach for accurate and scalable graph embedding}, journal = {CoRR}, volume = {abs/1910.02370}, year = {2019}, url = {http://arxiv.org/abs/1910.02370}, eprinttype = {arXiv}, eprint = {1910.02370}, timestamp = {Wed, 09 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1910-02370.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1910-06909, author = {Ritchie Zhao and Christopher De Sa and Zhiru Zhang}, title = {Overwrite Quantization: Opportunistic Outlier Handling for Neural Network Accelerators}, journal = {CoRR}, volume = {abs/1910.06909}, year = {2019}, url = {http://arxiv.org/abs/1910.06909}, eprinttype = {arXiv}, eprint = {1910.06909}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1910-06909.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-01214, author = {Baijun Ji and Zhirui Zhang and Xiangyu Duan and Min Zhang and Boxing Chen and Weihua Luo}, title = {Cross-lingual Pre-training Based Transfer for Zero-shot Neural Machine Translation}, journal = {CoRR}, volume = {abs/1912.01214}, year = {2019}, url = {http://arxiv.org/abs/1912.01214}, eprinttype = {arXiv}, eprint = {1912.01214}, timestamp = {Wed, 18 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-01214.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/intr/DongXLMDZL18, author = {Yuanyuan Dong and Yajiong Xue and Mengyun Luo and Dandan Mo and Wei Dong and Zhiruo Zhang and Huigang Liang}, title = {Investigating the impact of mobile {SNS} addiction on individual's self-rated health}, journal = {Internet Res.}, volume = {28}, number = {2}, pages = {278--292}, year = {2018}, url = {https://doi.org/10.1108/IntR-05-2017-0198}, doi = {10.1108/INTR-05-2017-0198}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/intr/DongXLMDZL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/DavidsonXTARAVZ18, author = {Scott Davidson and Shaolin Xie and Christopher Torng and Khalid Al{-}Hawaj and Austin Rovinski and Tutu Ajayi and Luis Vega and Chun Zhao and Ritchie Zhao and Steve Dai and Aporva Amarnath and Bandhav Veluri and Paul Gao and Anuj Rao and Gai Liu and Rajesh K. Gupta and Zhiru Zhang and Ronald G. Dreslinski and Christopher Batten and Michael B. Taylor}, title = {The Celerity Open-Source 511-Core {RISC-V} Tiered Accelerator Fabric: Fast Architectures and Design Methodologies for Fast Chips}, journal = {{IEEE} Micro}, volume = {38}, number = {2}, pages = {30--41}, year = {2018}, url = {https://doi.org/10.1109/MM.2018.022071133}, doi = {10.1109/MM.2018.022071133}, timestamp = {Tue, 12 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/DavidsonXTARAVZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ShiZBWWCY18, author = {Hao Shi and Qingjun Zhang and Mingming Bian and Hangyu Wang and Zhiru Wang and Liang Chen and Jian Yang}, title = {A Novel Ship Detection Method Based on Gradient and Integral Feature for Single-Polarization Synthetic Aperture Radar Imagery}, journal = {Sensors}, volume = {18}, number = {2}, pages = {563}, year = {2018}, url = {https://doi.org/10.3390/s18020563}, doi = {10.3390/S18020563}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ShiZBWWCY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/WuZZYLZ18, author = {Shuangzhi Wu and Dongdong Zhang and Zhirui Zhang and Nan Yang and Mu Li and Ming Zhou}, title = {Dependency-to-Dependency Neural Machine Translation}, journal = {{IEEE} {ACM} Trans. Audio Speech Lang. Process.}, volume = {26}, number = {11}, pages = {2132--2141}, year = {2018}, url = {https://doi.org/10.1109/TASLP.2018.2855968}, doi = {10.1109/TASLP.2018.2855968}, timestamp = {Mon, 21 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taslp/WuZZYLZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/Zhang0LZC18, author = {Zhirui Zhang and Shujie Liu and Mu Li and Ming Zhou and Enhong Chen}, editor = {Sheila A. McIlraith and Kilian Q. Weinberger}, title = {Joint Training for Neural Machine Translation Models with Monolingual Data}, booktitle = {Proceedings of the Thirty-Second {AAAI} Conference on Artificial Intelligence, (AAAI-18), the 30th innovative Applications of Artificial Intelligence (IAAI-18), and the 8th {AAAI} Symposium on Educational Advances in Artificial Intelligence (EAAI-18), New Orleans, Louisiana, USA, February 2-7, 2018}, pages = {555--562}, publisher = {{AAAI} Press}, year = {2018}, url = {https://doi.org/10.1609/aaai.v32i1.11248}, doi = {10.1609/AAAI.V32I1.11248}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/Zhang0LZC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/conll/Zhang0L0C18, author = {Zhirui Zhang and Shujie Liu and Mu Li and Ming Zhou and Enhong Chen}, editor = {Anna Korhonen and Ivan Titov}, title = {Bidirectional Generative Adversarial Networks for Neural Machine Translation}, booktitle = {Proceedings of the 22nd Conference on Computational Natural Language Learning, CoNLL 2018, Brussels, Belgium, October 31 - November 1, 2018}, pages = {190--199}, publisher = {Association for Computational Linguistics}, year = {2018}, url = {https://doi.org/10.18653/v1/k18-1019}, doi = {10.18653/V1/K18-1019}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/conll/Zhang0L0C18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuaZS18, author = {Weizhe Hua and Zhiru Zhang and G. Edward Suh}, title = {Reverse engineering convolutional neural networks through side-channel information leaks}, booktitle = {Proceedings of the 55th Annual Design Automation Conference, {DAC} 2018, San Francisco, CA, USA, June 24-29, 2018}, pages = {4:1--4:6}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3195970.3196105}, doi = {10.1145/3195970.3196105}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HuaZS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/DaiZZUYZ18, author = {Steve Dai and Yuan Zhou and Hang Zhang and Ecenur Ustun and Evangeline F. Y. Young and Zhiru Zhang}, title = {Fast and Accurate Estimation of Quality of Results in High-Level Synthesis with Machine Learning}, booktitle = {26th {IEEE} Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2018, Boulder, CO, USA, April 29 - May 1, 2018}, pages = {129--132}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/FCCM.2018.00029}, doi = {10.1109/FCCM.2018.00029}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/DaiZZUYZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/DaiLZ18, author = {Steve Dai and Gai Liu and Zhiru Zhang}, editor = {Jason Helge Anderson and Kia Bazargan}, title = {A Scalable Approach to Exact Resource-Constrained Scheduling Based on a Joint {SDC} and {SAT} Formulation}, booktitle = {Proceedings of the 2018 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, {FPGA} 2018, Monterey, CA, USA, February 25-27, 2018}, pages = {137--146}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3174243.3174268}, doi = {10.1145/3174243.3174268}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpga/DaiLZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/LiuUXXLZ18, author = {Gai Liu and Ecenur Ustun and Shaojie Xiang and Chang Xu and Guojie Luo and Zhiru Zhang}, editor = {Jason Helge Anderson and Kia Bazargan}, title = {DATuner: An Extensible Distributed Autotuning Framework for {FPGA} Design and Design Automation: (Abstract Only)}, booktitle = {Proceedings of the 2018 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, {FPGA} 2018, Monterey, CA, USA, February 25-27, 2018}, pages = {290}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3174243.3174978}, doi = {10.1145/3174243.3174978}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpga/LiuUXXLZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/ZhouGDZSJFLLVWZ18, author = {Yuan Zhou and Udit Gupta and Steve Dai and Ritchie Zhao and Nitish Kumar Srivastava and Hanchen Jin and Joseph Featherston and Yi{-}Hsiang Lai and Gai Liu and Gustavo Angarita Velasquez and Wenping Wang and Zhiru Zhang}, editor = {Jason Helge Anderson and Kia Bazargan}, title = {Rosetta: {A} Realistic High-Level Synthesis Benchmark Suite for Software Programmable FPGAs}, booktitle = {Proceedings of the 2018 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, {FPGA} 2018, Monterey, CA, USA, February 25-27, 2018}, pages = {269--278}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3174243.3174255}, doi = {10.1145/3174243.3174255}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpga/ZhouGDZSJFLLVWZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JiangDSZ18, author = {Zhenghong Jiang and Steve Dai and G. Edward Suh and Zhiru Zhang}, editor = {Iris Bahar}, title = {High-level synthesis with timing-sensitive information flow enforcement}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {88}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243415}, doi = {10.1145/3240765.3243415}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JiangDSZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccchina/DongZHLH18, author = {Weiwei Dong and Tiankui Zhang and Zhirui Hu and Yuanwei Liu and Xiao Han}, title = {Energy-Efficient Hybrid Precoding for mmWave Massive {MIMO} Systems}, booktitle = {{IEEE/CIC} International Conference on Communications in China - Workshops, {ICCC} Workshops 2018, Beijing, China, August 16-18, 2018}, pages = {6--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICCChinaW.2018.8674523}, doi = {10.1109/ICCCHINAW.2018.8674523}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccchina/DongZHLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/ChenLRLZLZ18, author = {Wenhu Chen and Guanlin Li and Shuo Ren and Shujie Liu and Zhirui Zhang and Mu Li and Ming Zhou}, editor = {Marilyn A. Walker and Heng Ji and Amanda Stent}, title = {Generative Bridging Network for Neural Sequence Prediction}, booktitle = {Proceedings of the 2018 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies, {NAACL-HLT} 2018, New Orleans, Louisiana, USA, June 1-6, 2018, Volume 1 (Long Papers)}, pages = {1706--1715}, publisher = {Association for Computational Linguistics}, year = {2018}, url = {https://doi.org/10.18653/v1/n18-1154}, doi = {10.18653/V1/N18-1154}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/naacl/ChenLRLZLZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/TangDYZSLLZ18, author = {Duyu Tang and Nan Duan and Zhao Yan and Zhirui Zhang and Yibo Sun and Shujie Liu and Yuanhua Lv and Ming Zhou}, editor = {Marilyn A. Walker and Heng Ji and Amanda Stent}, title = {Learning to Collaborate for Question Answering and Asking}, booktitle = {Proceedings of the 2018 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies, {NAACL-HLT} 2018, New Orleans, Louisiana, USA, June 1-6, 2018, Volume 1 (Long Papers)}, pages = {1564--1574}, publisher = {Association for Computational Linguistics}, year = {2018}, url = {https://doi.org/10.18653/v1/n18-1141}, doi = {10.18653/V1/N18-1141}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/naacl/TangDYZSLLZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nlpcc/Zhang0LZC18, author = {Zhirui Zhang and Shujie Liu and Mu Li and Ming Zhou and Enhong Chen}, editor = {Min Zhang and Vincent Ng and Dongyan Zhao and Sujian Li and Hongying Zan}, title = {Coarse-To-Fine Learning for Neural Machine Translation}, booktitle = {Natural Language Processing and Chinese Computing - 7th {CCF} International Conference, {NLPCC} 2018, Hohhot, China, August 26-30, 2018, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {11108}, pages = {316--328}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-99495-6\_27}, doi = {10.1007/978-3-319-99495-6\_27}, timestamp = {Thu, 07 Apr 2022 09:14:07 +0200}, biburl = {https://dblp.org/rec/conf/nlpcc/Zhang0LZC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcsp/LiuZHLW18, author = {Haiyan Liu and Tiankui Zhang and Zhirui Hu and Jonathan Loo and Youxiang Wang}, title = {Channel Tracking for Uniform Rectangular Arrays in mmWave Massive {MIMO} Systems}, booktitle = {10th International Conference on Wireless Communications and Signal Processing, {WCSP} 2018, Hangzhou, China, October 18-20, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/WCSP.2018.8555585}, doi = {10.1109/WCSP.2018.8555585}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wcsp/LiuZHLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1803-00353, author = {Zhirui Zhang and Shujie Liu and Mu Li and Ming Zhou and Enhong Chen}, title = {Joint Training for Neural Machine Translation Models with Monolingual Data}, journal = {CoRR}, volume = {abs/1803.00353}, year = {2018}, url = {http://arxiv.org/abs/1803.00353}, eprinttype = {arXiv}, eprint = {1803.00353}, timestamp = {Mon, 21 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1803-00353.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1803-05567, author = {Hany Hassan and Anthony Aue and Chang Chen and Vishal Chowdhary and Jonathan Clark and Christian Federmann and Xuedong Huang and Marcin Junczys{-}Dowmunt and William Lewis and Mu Li and Shujie Liu and Tie{-}Yan Liu and Renqian Luo and Arul Menezes and Tao Qin and Frank Seide and Xu Tan and Fei Tian and Lijun Wu and Shuangzhi Wu and Yingce Xia and Dongdong Zhang and Zhirui Zhang and Ming Zhou}, title = {Achieving Human Parity on Automatic Chinese to English News Translation}, journal = {CoRR}, volume = {abs/1803.05567}, year = {2018}, url = {http://arxiv.org/abs/1803.05567}, eprinttype = {arXiv}, eprint = {1803.05567}, timestamp = {Mon, 29 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1803-05567.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-12549, author = {Weizhe Hua and Christopher De Sa and Zhiru Zhang and G. Edward Suh}, title = {Channel Gating Neural Networks}, journal = {CoRR}, volume = {abs/1805.12549}, year = {2018}, url = {http://arxiv.org/abs/1805.12549}, eprinttype = {arXiv}, eprint = {1805.12549}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-12549.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1808-04064, author = {Zhirui Zhang and Shuangzhi Wu and Shujie Liu and Mu Li and Ming Zhou and Enhong Chen}, title = {Regularizing Neural Machine Translation by Target-bidirectional Agreement}, journal = {CoRR}, volume = {abs/1808.04064}, year = {2018}, url = {http://arxiv.org/abs/1808.04064}, eprinttype = {arXiv}, eprint = {1808.04064}, timestamp = {Mon, 21 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1808-04064.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1808-07894, author = {Zhirui Zhang and Shuo Ren and Shujie Liu and Jianyong Wang and Peng Chen and Mu Li and Ming Zhou and Enhong Chen}, title = {Style Transfer as Unsupervised Machine Translation}, journal = {CoRR}, volume = {abs/1808.07894}, year = {2018}, url = {http://arxiv.org/abs/1808.07894}, eprinttype = {arXiv}, eprint = {1808.07894}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1808-07894.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1808-08003, author = {Wenhu Chen and Guanlin Li and Shujie Liu and Zhirui Zhang and Mu Li and Ming Zhou}, title = {Approximate Distribution Matching for Sequence-to-Sequence Learning}, journal = {CoRR}, volume = {abs/1808.08003}, year = {2018}, url = {http://arxiv.org/abs/1808.08003}, eprinttype = {arXiv}, eprint = {1808.08003}, timestamp = {Mon, 21 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1808-08003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-07755, author = {Ritchie Zhao and Yuwei Hu and Jordan Dotzel and Christopher De Sa and Zhiru Zhang}, title = {Building Efficient Deep Neural Networks with Unitary Group Convolutions}, journal = {CoRR}, volume = {abs/1811.07755}, year = {2018}, url = {http://arxiv.org/abs/1811.07755}, eprinttype = {arXiv}, eprint = {1811.07755}, timestamp = {Mon, 26 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-07755.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/HuZL17, author = {Zhirui Hu and Tiankui Zhang and Jonathan Loo}, title = {Power Allocation for Coordinated Multi-Cell Systems With Imperfect Channel and Battery-Capacity-Limited Receivers}, journal = {{IEEE} Commun. Lett.}, volume = {21}, number = {12}, pages = {2746--2749}, year = {2017}, url = {https://doi.org/10.1109/LCOMM.2017.2745539}, doi = {10.1109/LCOMM.2017.2745539}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/icl/HuZL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jais/LiangXZ17, author = {Huigang Liang and Yajiong Xue and Zhiruo Zhang}, title = {Understanding Online Health Information Use: The Case of People with Physical Disabilities}, journal = {J. Assoc. Inf. Syst.}, volume = {18}, number = {6}, pages = {2}, year = {2017}, url = {https://doi.org/10.17705/1jais.00461}, doi = {10.17705/1JAIS.00461}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jais/LiangXZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jei/ZhangZWW17, author = {Xinyue Zhang and Xudong Zhang and Chao Wang and Zhirui Wang}, title = {Hyperspectral imaging based on prior image constrained compressive sensing}, journal = {J. Electronic Imaging}, volume = {26}, number = {2}, pages = {23002}, year = {2017}, url = {https://doi.org/10.1117/1.JEI.26.2.023002}, doi = {10.1117/1.JEI.26.2.023002}, timestamp = {Thu, 15 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jei/ZhangZWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LiuTDZZ17, author = {Gai Liu and Mingxing Tan and Steve Dai and Ritchie Zhao and Zhiru Zhang}, title = {Architecture and Synthesis for Area-Efficient Pipelining of Irregular Loop Nests}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {36}, number = {11}, pages = {1817--1830}, year = {2017}, url = {https://doi.org/10.1109/TCAD.2017.2664067}, doi = {10.1109/TCAD.2017.2664067}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/LiuTDZZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acssc/DaiLZZ17, author = {Steve Dai and Gai Liu and Ritchie Zhao and Zhiru Zhang}, editor = {Michael B. Matthews}, title = {Enabling adaptive loop pipelining in high-level synthesis}, booktitle = {51st Asilomar Conference on Signals, Systems, and Computers, {ACSSC} 2017, Pacific Grove, CA, USA, October 29 - November 1, 2017}, pages = {131--135}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ACSSC.2017.8335152}, doi = {10.1109/ACSSC.2017.8335152}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acssc/DaiLZZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/ChenZZZSS17, author = {Peng Chen and Feifei Zhang and Zhirui Zong and Hao Zheng and Teerachot Siriburanon and Robert Bogdan Staszewski}, title = {A 15-{\(\mu\)}W, 103-fs step, 5-bit capacitor-DAC-based constant-slope digital-to-time converter in 28nm {CMOS}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2017, Seoul, Korea (South), November 6-8, 2017}, pages = {93--96}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASSCC.2017.8240224}, doi = {10.1109/ASSCC.2017.8240224}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/ChenZZZSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LinXZZSTG17, author = {Jeng{-}Hau Lin and Tianwei Xing and Ritchie Zhao and Zhiru Zhang and Mani B. Srivastava and Zhuowen Tu and Rajesh K. Gupta}, title = {Binarized Convolutional Neural Networks with Separable Filters for Efficient Hardware Acceleration}, booktitle = {2017 {IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2017, Honolulu, HI, USA, July 21-26, 2017}, pages = {344--352}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/CVPRW.2017.48}, doi = {10.1109/CVPRW.2017.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/LinXZZSTG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/ZhangLLZC17, author = {Zhirui Zhang and Shujie Liu and Mu Li and Ming Zhou and Enhong Chen}, editor = {Martha Palmer and Rebecca Hwa and Sebastian Riedel}, title = {Stack-based Multi-layer Attention for Transition-based Dependency Parsing}, booktitle = {Proceedings of the 2017 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2017, Copenhagen, Denmark, September 9-11, 2017}, pages = {1677--1682}, publisher = {Association for Computational Linguistics}, year = {2017}, url = {https://doi.org/10.18653/v1/d17-1175}, doi = {10.18653/V1/D17-1175}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/ZhangLLZC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/BartzCGHHKLLRSS17, author = {Edward Bartz and Jorge Chaves and Yuri Gershtein and Eva Halkiadakis and Michael D. Hildreth and Savvas Kyriacou and Kevin Lannon and Anthony Lefeld and Anders Ryd and Louise Skinnari and Robert Stone and Charles Strohman and Zhengcheng Tao and Brian Winer and Peter Wittich and Zhiru Zhang and Margaret Zientek}, title = {FPGA-Based Real-Time Charged Particle Trajectory Reconstruction at the Large Hadron Collider}, booktitle = {25th {IEEE} Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2017, Napa, CA, USA, April 30 - May 2, 2017}, pages = {64--71}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/FCCM.2017.27}, doi = {10.1109/FCCM.2017.27}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fccm/BartzCGHHKLLRSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/DaiZLSGBZ17, author = {Steve Dai and Ritchie Zhao and Gai Liu and Shreesha Srinath and Udit Gupta and Christopher Batten and Zhiru Zhang}, editor = {Jonathan W. Greene and Jason Helge Anderson}, title = {Dynamic Hazard Resolution for Pipelining Irregular Loops in High-Level Synthesis}, booktitle = {Proceedings of the 2017 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, {FPGA} 2017, Monterey, CA, USA, February 22-24, 2017}, pages = {189--194}, publisher = {{ACM}}, year = {2017}, url = {http://dl.acm.org/citation.cfm?id=3021754}, timestamp = {Tue, 06 Nov 2018 16:58:22 +0100}, biburl = {https://dblp.org/rec/conf/fpga/DaiZLSGBZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/LiuZ17, author = {Gai Liu and Zhiru Zhang}, editor = {Jonathan W. Greene and Jason Helge Anderson}, title = {A Parallelized Iterative Improvement Approach to Area Optimization for LUT-Based Technology Mapping}, booktitle = {Proceedings of the 2017 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, {FPGA} 2017, Monterey, CA, USA, February 22-24, 2017}, pages = {147--156}, publisher = {{ACM}}, year = {2017}, url = {http://dl.acm.org/citation.cfm?id=3021735}, timestamp = {Fri, 03 Feb 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpga/LiuZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/SrivastavaDMZ17, author = {Nitish Kumar Srivastava and Steve Dai and Rajit Manohar and Zhiru Zhang}, editor = {Jonathan W. Greene and Jason Helge Anderson}, title = {Accelerating Face Detection on Programmable SoC Using C-Based Synthesis}, booktitle = {Proceedings of the 2017 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, {FPGA} 2017, Monterey, CA, USA, February 22-24, 2017}, pages = {195--200}, publisher = {{ACM}}, year = {2017}, url = {http://dl.acm.org/citation.cfm?id=3021753}, timestamp = {Fri, 03 Feb 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpga/SrivastavaDMZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/XuLZYLZ17, author = {Chang Xu and Gai Liu and Ritchie Zhao and Stephen Yang and Guojie Luo and Zhiru Zhang}, editor = {Jonathan W. Greene and Jason Helge Anderson}, title = {A Parallel Bandit-Based Approach for Autotuning {FPGA} Compilation}, booktitle = {Proceedings of the 2017 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, {FPGA} 2017, Monterey, CA, USA, February 22-24, 2017}, pages = {157--166}, publisher = {{ACM}}, year = {2017}, url = {http://dl.acm.org/citation.cfm?id=3021747}, timestamp = {Sun, 17 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpga/XuLZYLZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/ZhaoSZXLSGZ17, author = {Ritchie Zhao and Weinan Song and Wentao Zhang and Tianwei Xing and Jeng{-}Hau Lin and Mani B. Srivastava and Rajesh Gupta and Zhiru Zhang}, editor = {Jonathan W. Greene and Jason Helge Anderson}, title = {Accelerating Binarized Convolutional Neural Networks with Software-Programmable FPGAs}, booktitle = {Proceedings of the 2017 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, {FPGA} 2017, Monterey, CA, USA, February 22-24, 2017}, pages = {15--24}, publisher = {{ACM}}, year = {2017}, url = {http://dl.acm.org/citation.cfm?id=3021741}, timestamp = {Mon, 05 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpga/ZhaoSZXLSGZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/ZhouAZ17, author = {Yuan Zhou and Khalid Musa Al{-}Hawaj and Zhiru Zhang}, editor = {Jonathan W. Greene and Jason Helge Anderson}, title = {A New Approach to Automatic Memory Banking using Trace-Based Address Mining}, booktitle = {Proceedings of the 2017 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, {FPGA} 2017, Monterey, CA, USA, February 22-24, 2017}, pages = {179--188}, publisher = {{ACM}}, year = {2017}, url = {http://dl.acm.org/citation.cfm?id=3021734}, timestamp = {Fri, 03 Feb 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpga/ZhouAZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuZ17, author = {Gai Liu and Zhiru Zhang}, editor = {Sri Parameswaran}, title = {Statistically certified approximate logic synthesis}, booktitle = {2017 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2017, Irvine, CA, USA, November 13-16, 2017}, pages = {344--351}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICCAD.2017.8203798}, doi = {10.1109/ICCAD.2017.8203798}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiuZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ChenL0ZL017, author = {Wenhu Chen and Guanlin Li and Shujie Liu and Zhirui Zhang and Mu Li and Ming Zhou}, title = {Neural Sequence Prediction by Coaching}, journal = {CoRR}, volume = {abs/1706.09152}, year = {2017}, url = {http://arxiv.org/abs/1706.09152}, eprinttype = {arXiv}, eprint = {1706.09152}, timestamp = {Mon, 21 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ChenL0ZL017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/LinXZZSTG17, author = {Jeng{-}Hau Lin and Tianwei Xing and Ritchie Zhao and Zhiru Zhang and Mani B. Srivastava and Zhuowen Tu and Rajesh K. Gupta}, title = {Binarized Convolutional Neural Networks with Separable Filters for Efficient Hardware Acceleration}, journal = {CoRR}, volume = {abs/1707.04693}, year = {2017}, url = {http://arxiv.org/abs/1707.04693}, eprinttype = {arXiv}, eprint = {1707.04693}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/LinXZZSTG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasp/ZhangGBHL16, author = {Tiankui Zhang and Anmeng Ge and Norman C. Beaulieu and Zhirui Hu and Jonathan Loo}, title = {A limited feedback scheme for massive {MIMO} systems based on principal component analysis}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2016}, pages = {64}, year = {2016}, url = {https://doi.org/10.1186/s13634-016-0364-9}, doi = {10.1186/S13634-016-0364-9}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejasp/ZhangGBHL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cps/ChenCGHRZ16, author = {Deming Chen and Jason Cong and Swathi T. Gurumani and Wen{-}mei W. Hwu and Kyle Rupnow and Zhiru Zhang}, title = {Platform choices and design demands for IoT platforms: cost, power, and performance tradeoffs}, journal = {{IET} Cyper-Phys. Syst.: Theory {\&} Appl.}, volume = {1}, number = {1}, pages = {70--77}, year = {2016}, url = {https://doi.org/10.1049/iet-cps.2016.0020}, doi = {10.1049/IET-CPS.2016.0020}, timestamp = {Tue, 26 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iet-cps/ChenCGHRZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/WangXHZXL16, author = {Zhirui Wang and Jia Xu and Zu{-}Zhen Huang and Xudong Zhang and Xiang{-}Gen Xia and Teng Long}, title = {Road-Aided Doppler Ambiguity Resolver for {SAR} Ground Moving Target in the Image Domain}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {13}, number = {10}, pages = {1552--1556}, year = {2016}, url = {https://doi.org/10.1109/LGRS.2016.2595859}, doi = {10.1109/LGRS.2016.2595859}, timestamp = {Mon, 22 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/lgrs/WangXHZXL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WangXHZXLB16, author = {Zhirui Wang and Jia Xu and Zu{-}Zhen Huang and Xudong Zhang and Xiang{-}Gen Xia and Teng Long and Qian Bao}, title = {Road-Aided Ground Slowly Moving Target 2D Motion Estimation for Single-Channel Synthetic Aperture Radar}, journal = {Sensors}, volume = {16}, number = {3}, pages = {383}, year = {2016}, url = {https://doi.org/10.3390/s16030383}, doi = {10.3390/S16030383}, timestamp = {Mon, 22 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/WangXHZXLB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/HuZSG16, author = {Qiang Hu and Xiaoyun Zhang and Zhiru Shi and Zhiyong Gao}, title = {Neyman-Pearson-Based Early Mode Decision for {HEVC} Encoding}, journal = {{IEEE} Trans. Multim.}, volume = {18}, number = {3}, pages = {379--391}, year = {2016}, url = {https://doi.org/10.1109/TMM.2015.2512799}, doi = {10.1109/TMM.2015.2512799}, timestamp = {Mon, 31 Oct 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmm/HuZSG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/HuSZG16, author = {Qiang Hu and Zhiru Shi and Xiaoyun Zhang and Zhiyong Gao}, title = {Fast {HEVC} intra mode decision based on logistic regression classification}, booktitle = {{IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2016, Nara, Japan, June 1-3, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/BMSB.2016.7521974}, doi = {10.1109/BMSB.2016.7521974}, timestamp = {Mon, 31 Oct 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmsb/HuSZG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhaoLSBZ16, author = {Ritchie Zhao and Gai Liu and Shreesha Srinath and Christopher Batten and Zhiru Zhang}, title = {Improving high-level synthesis with decoupled data structure optimization}, booktitle = {Proceedings of the 53rd Annual Design Automation Conference, {DAC} 2016, Austin, TX, USA, June 5-9, 2016}, pages = {137:1--137:6}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2897937.2898030}, doi = {10.1145/2897937.2898030}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhaoLSBZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccps/MajumdarZA16, author = {Abhinandan Majumdar and Zhiru Zhang and David H. Albonesi}, title = {Characterizing the Benefits and Limitations of Smart Building Meeting Room Scheduling}, booktitle = {7th {ACM/IEEE} International Conference on Cyber-Physical Systems, {ICCPS} 2016, Vienna, Austria, April 11-14, 2016}, pages = {6:1--6:10}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCPS.2016.7479070}, doi = {10.1109/ICCPS.2016.7479070}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccps/MajumdarZA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasp/HuFZNC15, author = {Zhirui Hu and Chunyan Feng and Tiankui Zhang and Qin Niu and Yue Chen}, title = {Nonlinear joint transmit-receive processing for coordinated multi-cell systems: centralized and decentralized}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2015}, pages = {10}, year = {2015}, url = {https://doi.org/10.1186/s13634-015-0193-2}, doi = {10.1186/S13634-015-0193-2}, timestamp = {Thu, 26 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejasp/HuFZNC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipsj/ZhangCDC15, author = {Zhiru Zhang and Deming Chen and Steve Dai and Keith A. Campbell}, title = {High-level Synthesis for Low-power Design}, journal = {{IPSJ} Trans. Syst. {LSI} Des. Methodol.}, volume = {8}, pages = {12--25}, year = {2015}, url = {https://doi.org/10.2197/ipsjtsldm.8.12}, doi = {10.2197/IPSJTSLDM.8.12}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ipsj/ZhangCDC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/NiuZZH15, author = {Qin Niu and Zhimin Zeng and Tiankui Zhang and Zhirui Hu}, title = {Interference Alignment Based Transceiver Design in {OSG} mode of HetNets}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {9}, number = {6}, pages = {2014--2034}, year = {2015}, url = {https://doi.org/10.3837/tiis.2015.06.003}, doi = {10.3837/TIIS.2015.06.003}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/NiuZZH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuZ15, author = {Gai Liu and Zhiru Zhang}, title = {A reconfigurable analog substrate for highly efficient maximum flow computation}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {17:1--17:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744781}, doi = {10.1145/2744769.2744781}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiuZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhaoTDZ15, author = {Ritchie Zhao and Mingxing Tan and Steve Dai and Zhiru Zhang}, title = {Area-efficient pipelining for FPGA-targeted high-level synthesis}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {157:1--157:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744801}, doi = {10.1145/2744769.2744801}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhaoTDZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/TanDGZ15, author = {Mingxing Tan and Steve Dai and Udit Gupta and Zhiru Zhang}, editor = {George A. Constantinides and Deming Chen}, title = {Mapping-Aware Constrained Scheduling for LUT-Based FPGAs}, booktitle = {Proceedings of the 2015 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, Monterey, CA, USA, February 22-24, 2015}, pages = {190--199}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2684746.2689063}, doi = {10.1145/2684746.2689063}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpga/TanDGZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/HuFZN15, author = {Zhirui Hu and Chunyan Feng and Tiankui Zhang and Qin Niu}, title = {Nonlinear joint transceiver design for coordinated multi-cell systems with energy cooperation}, booktitle = {2015 {IEEE} International Conference on Communications, {ICC} 2015, London, United Kingdom, June 8-12, 2015}, pages = {4680--4685}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICC.2015.7249062}, doi = {10.1109/ICC.2015.7249062}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/HuFZN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KoushanfarMQZ15, author = {Farinaz Koushanfar and Azalia Mirhoseini and Gang Qu and Zhiru Zhang}, editor = {Diana Marculescu and Frank Liu}, title = {{DA} Systemization of Knowledge: {A} Catalog of Prior Forward-Looking Initiatives}, booktitle = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015}, pages = {255--262}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCAD.2015.7372578}, doi = {10.1109/ICCAD.2015.7372578}, timestamp = {Mon, 26 Jun 2023 16:43:56 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KoushanfarMQZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TanLZDZ15, author = {Mingxing Tan and Gai Liu and Ritchie Zhao and Steve Dai and Zhiru Zhang}, editor = {Diana Marculescu and Frank Liu}, title = {ElasticFlow: {A} Complexity-Effective Approach for Pipelining Irregular Loop Nests}, booktitle = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015}, pages = {78--85}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCAD.2015.7372553}, doi = {10.1109/ICCAD.2015.7372553}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/TanLZDZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/GeZHZ15, author = {Anmeng Ge and Tiankui Zhang and Zhirui Hu and Zhimin Zeng}, title = {Principal component analysis based limited feedback scheme for massive {MIMO} systems}, booktitle = {26th {IEEE} Annual International Symposium on Personal, Indoor, and Mobile Radio Communications, {PIMRC} 2015, Hong Kong, China, August 30 - September 2, 2015}, pages = {326--331}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/PIMRC.2015.7343318}, doi = {10.1109/PIMRC.2015.7343318}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/GeZHZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vcip/HuSZG15, author = {Qiang Hu and Zhiru Shi and Xiaoyun Zhang and Zhiyong Gao}, title = {Early {SKIP} mode decision based on Bayesian model for {HEVC}}, booktitle = {2015 Visual Communications and Image Processing, {VCIP} 2015, Singapore, December 13-16, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VCIP.2015.7457828}, doi = {10.1109/VCIP.2015.7457828}, timestamp = {Mon, 31 Oct 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vcip/HuSZG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/ShiHZZ15, author = {Lei Shi and Zhirui Hu and Tiankui Zhang and Zhimin Zeng}, title = {Performance analysis of delayed limited feedback based on per-cell codebook in CoMP systems}, booktitle = {2015 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2015, New Orleans, LA, USA, March 9-12, 2015}, pages = {363--368}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/WCNC.2015.7127497}, doi = {10.1109/WCNC.2015.7127497}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/ShiHZZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/HuFZGS14, author = {Zhirui Hu and Chunyan Feng and Tiankui Zhang and Qiubin Gao and Shaohui Sun}, title = {Research on Per-cell Codebook based Channel Quantization for CoMP Transmission}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {8}, number = {6}, pages = {1828--1847}, year = {2014}, url = {https://doi.org/10.3837/tiis.2014.06.001}, doi = {10.3837/TIIS.2014.06.001}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/HuFZGS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/ZhangDYZ14, author = {Mu Zhang and Yue Duan and Heng Yin and Zhiruo Zhao}, editor = {Gail{-}Joon Ahn and Moti Yung and Ninghui Li}, title = {Semantics-Aware Android Malware Classification Using Weighted Contextual {API} Dependency Graphs}, booktitle = {Proceedings of the 2014 {ACM} {SIGSAC} Conference on Computer and Communications Security, Scottsdale, AZ, USA, November 3-7, 2014}, pages = {1105--1116}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2660267.2660359}, doi = {10.1145/2660267.2660359}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccs/ZhangDYZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DaiTHZ14, author = {Steve Dai and Mingxing Tan and Kecheng Hao and Zhiru Zhang}, title = {Flushing-Enabled Loop Pipelining for High-Level Synthesis}, booktitle = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San Francisco, CA, USA, June 1-5, 2014}, pages = {76:1--76:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2593069.2593143}, doi = {10.1145/2593069.2593143}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DaiTHZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/HuFZGS14, author = {Zhirui Hu and Chunyan Feng and Tiankui Zhang and Qiubin Gao and Shaohui Sun}, title = {Decentralized nonlinear precoding algorithm for multi-cell coordinated systems}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2014, Austin, TX, USA, December 8-12, 2014}, pages = {3203--3208}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/GLOCOM.2014.7037299}, doi = {10.1109/GLOCOM.2014.7037299}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/HuFZGS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalip/ShiSZG14, author = {Zhiru Shi and Yunyu Shi and Xiaoyun Zhang and Zhiyong Gao}, title = {Motion estimation for depth maps coding based on rendered view distortion}, booktitle = {International Conference on Audio, Language and Image Processing, {ICAILP} 2014, Shanghai, China, July 7-9, 2014}, pages = {676--680}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICALIP.2014.7009880}, doi = {10.1109/ICALIP.2014.7009880}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/icalip/ShiSZG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalip/ZhengSZG14, author = {Feiyang Zheng and Zhiru Shi and Xiaoyun Zhang and Zhiyong Gao}, title = {Fast {H.264/AVC} to {HEVC} transcoding based on residual homogeneity}, booktitle = {International Conference on Audio, Language and Image Processing, {ICAILP} 2014, Shanghai, China, July 7-9, 2014}, pages = {765--770}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICALIP.2014.7009898}, doi = {10.1109/ICALIP.2014.7009898}, timestamp = {Fri, 25 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icalip/ZhengSZG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Tan0DZ14, author = {Mingxing Tan and Bin Liu and Steve Dai and Zhiru Zhang}, editor = {Yao{-}Wen Chang}, title = {Multithreaded pipeline synthesis for data-parallel kernels}, booktitle = {The {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014}, pages = {718--725}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCAD.2014.7001431}, doi = {10.1109/ICCAD.2014.7001431}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Tan0DZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/LiuTTZ14, author = {Gai Liu and Ye Tao and Mingxing Tan and Zhiru Zhang}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {{CASA:} correlation-aware speculative adders}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {189--194}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627635}, doi = {10.1145/2627369.2627635}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/LiuTTZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/SrinathITLZB14, author = {Shreesha Srinath and Berkin Ilbeyi and Mingxing Tan and Gai Liu and Zhiru Zhang and Christopher Batten}, title = {Architectural Specialization for Inter-Iteration Loop Dependence Patterns}, booktitle = {47th Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2014, Cambridge, United Kingdom, December 13-17, 2014}, pages = {583--595}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/MICRO.2014.31}, doi = {10.1109/MICRO.2014.31}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/SrinathITLZB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vcip/ZhengSZG14, author = {Feiyang Zheng and Zhiru Shi and Xiaoyun Zhang and Zhiyong Gao}, title = {Effective {H.264/AVC} to {HEVC} transcoder based on prediction homogeneity}, booktitle = {2014 {IEEE} Visual Communications and Image Processing Conference, {VCIP} 2014, Valletta, Malta, December 7-10, 2014}, pages = {233--236}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VCIP.2014.7051547}, doi = {10.1109/VCIP.2014.7051547}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/vcip/ZhengSZG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/appml/ZhangR13, author = {Li{-}Li Zhang and Zhi{-}Ru Ren}, title = {Improved convergence theorems of modulus-based matrix splitting iteration methods for linear complementarity problems}, journal = {Appl. Math. Lett.}, volume = {26}, number = {6}, pages = {638--642}, year = {2013}, url = {https://doi.org/10.1016/j.aml.2013.01.001}, doi = {10.1016/J.AML.2013.01.001}, timestamp = {Mon, 19 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/appml/ZhangR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Zhang013, author = {Zhiru Zhang and Bin Liu}, editor = {J{\"{o}}rg Henkel}, title = {SDC-based modulo scheduling for pipeline synthesis}, booktitle = {The {IEEE/ACM} International Conference on Computer-Aided Design, ICCAD'13, San Jose, CA, USA, November 18-21, 2013}, pages = {211--218}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCAD.2013.6691121}, doi = {10.1109/ICCAD.2013.6691121}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Zhang013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/HuZFGS13, author = {Zhirui Hu and Tiankui Zhang and Chunyan Feng and Qiubin Gao and Shaohui Sun}, title = {Study on codeword selection for per-cell codebook with limited feedback in CoMP systems}, booktitle = {2013 {IEEE} Wireless Communications and Networking Conference (WCNC), Shanghai, Shanghai, China, April 7-10, 2013}, pages = {3140--3145}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/WCNC.2013.6555064}, doi = {10.1109/WCNC.2013.6555064}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/HuZFGS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jece/ChenCCXZ12, author = {Deming Chen and Kiyoung Choi and Philippe Coussy and Yuan Xie and Zhiru Zhang}, title = {{ESL} Design Methodology}, journal = {J. Electr. Comput. Eng.}, volume = {2012}, pages = {358281:1--358281:2}, year = {2012}, url = {https://doi.org/10.1155/2012/358281}, doi = {10.1155/2012/358281}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jece/ChenCCXZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcsb/HuQZ11, author = {Zhirui Hu and Minping Qian and Michael Q. Zhang}, title = {Novel Markov model of induced pluripotency predicts gene expression changes in reprogramming}, journal = {{BMC} Syst. Biol.}, volume = {5}, number = {{S-2}}, pages = {S8}, year = {2011}, url = {https://doi.org/10.1186/1752-0509-5-S2-S8}, doi = {10.1186/1752-0509-5-S2-S8}, timestamp = {Tue, 05 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcsb/HuQZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/na/ZhangRZ11, author = {Guo{-}Feng Zhang and Zhi{-}Ru Ren and Yuan{-}Yuan Zhou}, title = {On HSS-based constraint preconditioners for generalized saddle-point problems}, journal = {Numer. Algorithms}, volume = {57}, number = {2}, pages = {273--287}, year = {2011}, url = {https://doi.org/10.1007/s11075-010-9428-3}, doi = {10.1007/S11075-010-9428-3}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/na/ZhangRZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/CongLNNVZ11, author = {Jason Cong and Bin Liu and Stephen Neuendorffer and Juanjo Noguera and Kees A. Vissers and Zhiru Zhang}, title = {High-Level Synthesis for FPGAs: From Prototyping to Deployment}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {30}, number = {4}, pages = {473--491}, year = {2011}, url = {https://doi.org/10.1109/TCAD.2011.2110592}, doi = {10.1109/TCAD.2011.2110592}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/CongLNNVZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacie/YeZ10, author = {Zhirui Ye and Yunlong Zhang}, title = {Speed Estimation from Single Loop Data Using an Unscented Particle Filter}, journal = {Comput. Aided Civ. Infrastructure Eng.}, volume = {25}, number = {7}, pages = {494--503}, year = {2010}, url = {https://doi.org/10.1111/j.1467-8667.2009.00634.x}, doi = {10.1111/J.1467-8667.2009.00634.X}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cacie/YeZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/CongLMZ10, author = {Jason Cong and Bin Liu and Rupak Majumdar and Zhiru Zhang}, title = {Behavior-Level Observability Analysis for Operation Gating in Low-Power Behavioral Synthesis}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {16}, number = {1}, pages = {4:1--4:29}, year = {2010}, url = {https://doi.org/10.1145/1870109.1870113}, doi = {10.1145/1870109.1870113}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/CongLMZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/ZhangZZTLCC10, author = {Jiyu Zhang and Zhiru Zhang and Sheng Zhou and Mingxing Tan and Xianhua Liu and Xu Cheng and Jason Cong}, editor = {Peter Y. K. Cheung and John Wawrzynek}, title = {Bit-level optimization for high-level synthesis and FPGA-based acceleration}, booktitle = {Proceedings of the {ACM/SIGDA} 18th International Symposium on Field Programmable Gate Arrays, {FPGA} 2010, Monterey, California, USA, February 21-23, 2010}, pages = {59--68}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1723112.1723124}, doi = {10.1145/1723112.1723124}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpga/ZhangZZTLCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/geoinformatics/ChenZY10, author = {Fen Chen and Zhiru Zhang and Dongmei Yan}, title = {Image classification with spectral and texture features based on {SVM}}, booktitle = {The 18th International Conference on Geoinformatics: GIScience in Change, Geoinformatics 2010, Peking University, Beijing, China, June, 18-20, 2010}, pages = {1--4}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/GEOINFORMATICS.2010.5567663}, doi = {10.1109/GEOINFORMATICS.2010.5567663}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/geoinformatics/ChenZY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/CongGLLZZZ09, author = {Jason Cong and Karthik Gururaj and Bin Liu and Chunyue Liu and Zhiru Zhang and Sheng Zhou and Yi Zou}, editor = {Kenneth L. Pocek and Duncan A. Buell}, title = {Evaluation of Static Analysis Techniques for Fixed-Point Precision Optimization}, booktitle = {{FCCM} 2009, 17th {IEEE} Symposium on Field Programmable Custom Computing Machines, Napa, California, USA, 5-7 April 2009, Proceedings}, pages = {231--234}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FCCM.2009.35}, doi = {10.1109/FCCM.2009.35}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/CongGLLZZZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/CongGLLZZZ09, author = {Jason Cong and Karthik Gururaj and Bin Liu and Chunyue Liu and Yi Zou and Zhiru Zhang and Sheng Zhou}, editor = {Paul Chow and Peter Y. K. Cheung}, title = {Revisiting bitwidth optimizations}, booktitle = {Proceedings of the {ACM/SIGDA} 17th International Symposium on Field Programmable Gate Arrays, {FPGA} 2009, Monterey, California, USA, February 22-24, 2009}, pages = {278}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1508128.1508182}, doi = {10.1145/1508128.1508182}, timestamp = {Tue, 06 Nov 2018 16:58:23 +0100}, biburl = {https://dblp.org/rec/conf/fpga/CongGLLZZZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CongLZ09, author = {Jason Cong and Bin Liu and Zhiru Zhang}, editor = {Jaijeet S. Roychowdhury}, title = {Scheduling with soft constraints}, booktitle = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009, San Jose, CA, USA, November 2-5, 2009}, pages = {47--54}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1687399.1687410}, doi = {10.1145/1687399.1687410}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CongLZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/CongLZ09, author = {Jason Cong and Bin Liu and Zhiru Zhang}, editor = {J{\"{o}}rg Henkel and Ali Keshavarzi and Naehyuck Chang and Tahir Ghani}, title = {Behavior-level observability don't-cares and application to low-power behavioral synthesis}, booktitle = {Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009}, pages = {139--144}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1594233.1594266}, doi = {10.1145/1594233.1594266}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/CongLZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jits/ZhangY08, author = {Yunlong Zhang and Zhirui Ye}, title = {Short-Term Traffic Flow Forecasting Using Fuzzy Logic System Methods}, journal = {J. Intell. Transp. Syst.}, volume = {12}, number = {3}, pages = {102--112}, year = {2008}, url = {https://doi.org/10.1080/15472450802262281}, doi = {10.1080/15472450802262281}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jits/ZhangY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HsiehCZC08, author = {Cheng{-}Tao Hsieh and Jason Cong and Zhiru Zhang and Shih{-}Chieh Chang}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Behavioral synthesis with activating unused flip-flops for reducing glitch power in {FPGA}}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {10--15}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483919}, doi = {10.1109/ASPDAC.2008.4483919}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/HsiehCZC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/JiangZPC08, author = {Wei Jiang and Zhiru Zhang and Miodrag Potkonjak and Jason Cong}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Scheduling with integer time budgeting for low-power optimization}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {22--27}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483947}, doi = {10.1109/ASPDAC.2008.4483947}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/JiangZPC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacie/XieZY07, author = {Yuanchang Xie and Yunlong Zhang and Zhirui Ye}, title = {Short-Term Traffic Volume Forecasting Using Kalman Filter with Discrete Wavelet Decomposition}, journal = {Comput. Aided Civ. Infrastructure Eng.}, volume = {22}, number = {5}, pages = {326--334}, year = {2007}, url = {https://doi.org/10.1111/j.1467-8667.2007.00489.x}, doi = {10.1111/J.1467-8667.2007.00489.X}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cacie/XieZY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenCFZ07, author = {Deming Chen and Jason Cong and Yiping Fan and Zhiru Zhang}, title = {High-Level Power Estimation and Low-Power Design Space Exploration for FPGAs}, booktitle = {Proceedings of the 12th Conference on Asia South Pacific Design Automation, {ASP-DAC} 2007, Yokohama, Japan, January 23-26, 2007}, pages = {529--534}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ASPDAC.2007.358040}, doi = {10.1109/ASPDAC.2007.358040}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ChenCFZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/CongHZ06, author = {Jason Cong and Guoling Han and Zhiru Zhang}, title = {Architecture and Compiler Optimizations for Data Bandwidth Improvement in Configurable Processors}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {14}, number = {9}, pages = {986--997}, year = {2006}, url = {https://doi.org/10.1109/TVLSI.2006.884050}, doi = {10.1109/TVLSI.2006.884050}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/CongHZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CongFHJZ06, author = {Jason Cong and Yiping Fan and Guoling Han and Wei Jiang and Zhiru Zhang}, editor = {Ellen Sentovich}, title = {Behavior and communication co-optimization for systems with sequential communication media}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {675--678}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147080}, doi = {10.1145/1146909.1147080}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CongFHJZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CongZ06, author = {Jason Cong and Zhiru Zhang}, editor = {Ellen Sentovich}, title = {An efficient and versatile scheduling algorithm based on {SDC} formulation}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {433--438}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147025}, doi = {10.1145/1146909.1147025}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CongZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/ZhangY06, author = {Yunlong Zhang and Zhirui Ye}, title = {A derivative-free nonlinear algorithm for speed estimation using data from single loop detectors}, booktitle = {{IEEE} Intelligent Transportation Systems Conference, {ITSC} 2006, Toronto, Ontario, Canada, 17-20 September 2006}, pages = {1035--1040}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ITSC.2006.1707358}, doi = {10.1109/ITSC.2006.1707358}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/itsc/ZhangY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/CongFHJZ06, author = {Jason Cong and Yiping Fan and Guoling Han and Wei Jiang and Zhiru Zhang}, title = {Platform-Based Behavior-Level and System-Level Synthesis}, booktitle = {2006 {IEEE} International {SOC} Conference, Austin, Texas, USA, September 24-27, 2006}, pages = {199--202}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/SOCC.2006.283880}, doi = {10.1109/SOCC.2006.283880}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/CongFHJZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/CongFHLXZC05, author = {Jason Cong and Yiping Fan and Guoling Han and Yizhou Lin and Junjuan Xu and Zhiru Zhang and Xu Cheng}, editor = {Tingao Tang}, title = {Bitwidth-aware scheduling and binding in high-level synthesis}, booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005}, pages = {856--861}, publisher = {{ACM} Press}, year = {2005}, url = {https://doi.org/10.1145/1120725.1121055}, doi = {10.1145/1120725.1121055}, timestamp = {Mon, 17 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/CongFHLXZC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/CongFHJRZ05, author = {Jason Cong and Yiping Fan and Guoling Han and Ashok Jagannathan and Glenn Reinman and Zhiru Zhang}, editor = {Herman Schmit and Steven J. E. Wilton}, title = {Instruction set extension with shadow registers for configurable processors}, booktitle = {Proceedings of the {ACM/SIGDA} 13th International Symposium on Field Programmable Gate Arrays, {FPGA} 2005, Monterey, California, USA, February 20-22, 2005}, pages = {99--106}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1046192.1046206}, doi = {10.1145/1046192.1046206}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpga/CongFHJRZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CongHZ05, author = {Jason Cong and Guoling Han and Zhiru Zhang}, title = {Architecture and compilation for data bandwidth improvement in configurable embedded processors}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {263--270}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560075}, doi = {10.1109/ICCAD.2005.1560075}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CongHZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcmse/WuLDZZWH04, author = {Di Wu and Zhi{-}Ru Li and Yi{-}Hong Ding and Man Zhang and Zhi{-}Ren Zheng and Bing{-}Qiang Wang and Xi{-}Yun Hao}, title = {Ab initio determination of the interaction hyperpolarizability for the H-bond complex NH{\_}3-HF}, journal = {J. Comput. Methods Sci. Eng.}, volume = {4}, number = {3}, pages = {301--306}, year = {2004}, url = {https://doi.org/10.3233/jcm-2004-4307}, doi = {10.3233/JCM-2004-4307}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcmse/WuLDZZWH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/CongFHYZ04, author = {Jason Cong and Yiping Fan and Guoling Han and Xun Yang and Zhiru Zhang}, title = {Architecture and synthesis for on-chip multicycle communication}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {23}, number = {4}, pages = {550--564}, year = {2004}, url = {https://doi.org/10.1109/TCAD.2004.825872}, doi = {10.1109/TCAD.2004.825872}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/CongFHYZ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CongFZ04, author = {Jason Cong and Yiping Fan and Zhiru Zhang}, editor = {Sharad Malik and Limor Fix and Andrew B. Kahng}, title = {Architecture-level synthesis for automatic interconnect pipelining}, booktitle = {Proceedings of the 41th Design Automation Conference, {DAC} 2004, San Diego, CA, USA, June 7-11, 2004}, pages = {602--607}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/996566.996731}, doi = {10.1145/996566.996731}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CongFZ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/CongFHZ04, author = {Jason Cong and Yiping Fan and Guoling Han and Zhiru Zhang}, editor = {Russell Tessier and Herman Schmit}, title = {Application-specific instruction generation for configurable processor architectures}, booktitle = {Proceedings of the {ACM/SIGDA} 12th International Symposium on Field Programmable Gate Arrays, {FPGA} 2004, Monterey, California, USA, February 22-24, 2004}, pages = {183--189}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/968280.968307}, doi = {10.1145/968280.968307}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpga/CongFHZ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/CongFHYZ03, author = {Jason Cong and Yiping Fan and Guoling Han and Xun Yang and Zhiru Zhang}, editor = {Rajesh Gupta and Yukihiro Nakamura and Alex Orailoglu and Pai H. Chou}, title = {Architecture and synthesis for multi-cycle on-chip communication}, booktitle = {Proceedings of the 1st {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2003, Newport Beach, CA, USA, October 1-3, 2003}, pages = {77--78}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/944645.944667}, doi = {10.1145/944645.944667}, timestamp = {Mon, 26 Nov 2018 12:14:45 +0100}, biburl = {https://dblp.org/rec/conf/codes/CongFHYZ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CongFHYZ03, author = {Jason Cong and Yiping Fan and Guoling Han and Xun Yang and Zhiru Zhang}, title = {Architectural Synthesis Integrated with Global Placement for Multi-Cycle Communication}, booktitle = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003, San Jose, CA, USA, November 9-13, 2003}, pages = {536--543}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2003}, url = {https://doi.org/10.1109/ICCAD.2003.1257863}, doi = {10.1109/ICCAD.2003.1257863}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CongFHYZ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhangFPC03, author = {Zhiru Zhang and Yiping Fan and Miodrag Potkonjak and Jason Cong}, title = {Gradual Relaxation Techniques with Applications to Behavioral Synthesis}, booktitle = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003, San Jose, CA, USA, November 9-13, 2003}, pages = {529--535}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2003}, url = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257862}, doi = {10.1109/ICCAD.2003.1257862}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhangFPC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/CongFYZ03, author = {Jason Cong and Yiping Fan and Xun Yang and Zhiru Zhang}, editor = {Massoud Pedram and Charles J. Alpert}, title = {Architecture and synthesis for multi-cycle communication}, booktitle = {Proceedings of the 2003 International Symposium on Physical Design, {ISPD} 2003, Monterey, CA, USA, April 6-9, 2003}, pages = {190--196}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/640000.640040}, doi = {10.1145/640000.640040}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispd/CongFYZ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.