Search dblp for Publications

export results for "toc:db/conf/iccd/iccd2002.bht:"

 download as .bib file

@inproceedings{DBLP:conf/iccd/Abou-SeidoNC02,
  author       = {Arif Ishaq Abou{-}Seido and
                  Brian Nowak and
                  Chris C. N. Chu},
  title        = {Fitted Elmore Delay: {A} Simple and Accurate Interconnect Delay Model},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {422--427},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106806},
  doi          = {10.1109/ICCD.2002.1106806},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Abou-SeidoNC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/AgarwalNEB02,
  author       = {Manvi Agarwal and
                  S. K. Nandy and
                  Jos T. J. van Eijndhoven and
                  Srinivasan Balakrishnan},
  title        = {Speculative Trace Scheduling in {VLIW} Processors},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {408--413},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106803},
  doi          = {10.1109/ICCD.2002.1106803},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/AgarwalNEB02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/AloulMS02,
  author       = {Fadi A. Aloul and
                  Igor L. Markov and
                  Karem A. Sakallah},
  title        = {Improving the Efficiency of Circuit-to-BDD Conversion by Gate and
                  Input Ordering},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {64--69},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106749},
  doi          = {10.1109/ICCD.2002.1106749},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/AloulMS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/AnnavaramDS02,
  author       = {Murali Annavaram and
                  Trung A. Diep and
                  John Paul Shen},
  title        = {Branch Behavior of a Commercial {OLTP} Workload on Intel {IA32} Processors},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {242--248},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106777},
  doi          = {10.1109/ICCD.2002.1106777},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/AnnavaramDS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ArslanO02,
  author       = {Baris Arslan and
                  Alex Orailoglu},
  title        = {Fault Dictionary Size Reduction through Test Response Superposition},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {480},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106817},
  doi          = {10.1109/ICCD.2002.1106817},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ArslanO02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/AugsburgerN02,
  author       = {Stephanie Augsburger and
                  Borivoje Nikolic},
  title        = {Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power
                  Reduction},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {316--321},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106788},
  doi          = {10.1109/ICCD.2002.1106788},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/AugsburgerN02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/BaniasadiM02,
  author       = {Amirali Baniasadi and
                  Andreas Moshovos},
  title        = {Branch Predictor Prediction: {A} Power-Aware Branch Predictor for
                  High-Performance Processors},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {458--461},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106813},
  doi          = {10.1109/ICCD.2002.1106813},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/BaniasadiM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/BarrettaFSP02,
  author       = {Domenico Barretta and
                  William Fornaciari and
                  Mariagiovanna Sami and
                  Danilo Pau},
  title        = {{SIMD} Extension to {VLIW} Multicluster Processors for Embedded Applications},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {523--526},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106823},
  doi          = {10.1109/ICCD.2002.1106823},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/BarrettaFSP02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/BasturkmenRP02,
  author       = {Nadir Z. Basturkmen and
                  Sudhakar M. Reddy and
                  Irith Pomeranz},
  title        = {A Low Power Pseudo-Random {BIST} Technique},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {468--473},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106815},
  doi          = {10.1109/ICCD.2002.1106815},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/BasturkmenRP02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/BeniniBBDFP02,
  author       = {Luca Benini and
                  Davide Bertozzi and
                  Davide Bruni and
                  Nicola Drago and
                  Franco Fummi and
                  Massimo Poncino},
  title        = {Legacy SystemC Co-Simulation of Multi-Processor Systems-on-Chip},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {494--499},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106819},
  doi          = {10.1109/ICCD.2002.1106819},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/BeniniBBDFP02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/BhanjaR02,
  author       = {Sanjukta Bhanja and
                  N. Ranganathan},
  title        = {Modeling Switching Activity Using Cascaded Bayesian Networks for Correlated
                  Input Streams},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {388--390},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106799},
  doi          = {10.1109/ICCD.2002.1106799},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/BhanjaR02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/BlunnoL02,
  author       = {Ivan Blunno and
                  Luciano Lavagno},
  title        = {Designing an Asynchronous Microcontroller Using Pipefitter},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {488--493},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106818},
  doi          = {10.1109/ICCD.2002.1106818},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/BlunnoL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Camposano02,
  author       = {Raul Camposano},
  title        = {From {IP} to Platforms},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCD.2002.10000},
  doi          = {10.1109/ICCD.2002.10000},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Camposano02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChangLR02,
  author       = {Yen{-}Jen Chang and
                  Feipei Lai and
                  Shanq{-}Jang Ruan},
  title        = {Cache Design for Eliminating the Address Translation Bottleneck and
                  Reducing the Tag Area Cost},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {334--339},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106791},
  doi          = {10.1109/ICCD.2002.1106791},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChangLR02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChappellWPSVGVGHKVJ02,
  author       = {B. Chappell and
                  Xinning Wang and
                  Priyadarsan Patra and
                  Prashant Saxena and
                  J. Vendrell and
                  Satyanarayan Gupta and
                  S. Varadarajan and
                  W. Gomes and
                  S. Hussain and
                  H. Krishnamurthy and
                  M. Venkateshmurthy and
                  S. Jain},
  title        = {A System-Level Solution to Domino Synthesis with 2 GHz Application},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {164},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106765},
  doi          = {10.1109/ICCD.2002.1106765},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChappellWPSVGVGHKVJ02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChenYKDKMSB02,
  author       = {Rita Yu Chen and
                  Paul Yip and
                  Georgios K. Konstadinidis and
                  Andrew Demas and
                  Fabian Klass and
                  Robert E. Mains and
                  Margaret Schmitt and
                  Dina Bistry},
  title        = {Timing Window Applications in UltraSPARC-IIIi? Microprocessor Design},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {158--163},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106764},
  doi          = {10.1109/ICCD.2002.1106764},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/ChenYKDKMSB02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChenYZC02,
  author       = {Hongyu Chen and
                  Bo Yao and
                  Feng Zhou and
                  Chung{-}Kuan Cheng},
  title        = {Physical Planning Of On-Chip Interconnect Architectures},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {30--35},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106743},
  doi          = {10.1109/ICCD.2002.1106743},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChenYZC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChengZYCG02,
  author       = {Esther Y. Cheng and
                  Feng Zhou and
                  Bo Yao and
                  Chung{-}Kuan Cheng and
                  Ronald L. Graham},
  title        = {Balancing the Interconnect Topology for Arrays of Processors between
                  Cost and Power},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {180--186},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106767},
  doi          = {10.1109/ICCD.2002.1106767},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChengZYCG02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChoC02,
  author       = {Geun Rae Cho and
                  Tom Chen},
  title        = {On The Impact of Technology Scaling On Mixed PTL/Static Circuits},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {322--326},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106789},
  doi          = {10.1109/ICCD.2002.1106789},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChoC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/CostaBM02,
  author       = {Eduardo A. C. da Costa and
                  Sergio Bampi and
                  Jos{\'{e}} Monteiro},
  title        = {A New Architecture for Signed Radix-2m Pure Array Multipliers},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {112--117},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106756},
  doi          = {10.1109/ICCD.2002.1106756},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/CostaBM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/DuarteVIKM02,
  author       = {David Duarte and
                  Narayanan Vijaykrishnan and
                  Mary Jane Irwin and
                  Hyun Suk Kim and
                  Grant McFarland},
  title        = {Impact of Scaling on the Effectiveness of Dynamic Power Reduction
                  Schemes},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {382--387},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106798},
  doi          = {10.1109/ICCD.2002.1106798},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/DuarteVIKM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/DudaniN02,
  author       = {Surrendra Dudani and
                  Jayant Nagda},
  title        = {High Level Functional Verification Closure},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {91},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106753},
  doi          = {10.1109/ICCD.2002.1106753},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/DudaniN02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/EfthymiouG02,
  author       = {Aristides Efthymiou and
                  Jim D. Garside},
  title        = {Adaptive Pipeline Depth Control for Processor Power-Management},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {454--457},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106812},
  doi          = {10.1109/ICCD.2002.1106812},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/EfthymiouG02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ErginGKP02,
  author       = {Oguz Ergin and
                  Kanad Ghose and
                  Gurhan Kucuk and
                  Dmitry Ponomarev},
  title        = {A Circuit-Level Implementation of Fast, Energy-Efficient {CMOS} Comparators
                  for High-Performance Microprocessors},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {118--121},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106757},
  doi          = {10.1109/ICCD.2002.1106757},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ErginGKP02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/FengKP02,
  author       = {Jessica Feng and
                  Farinaz Koushanfar and
                  Miodrag Potkonjak},
  title        = {System-Architectures for Sensor Networks Issues, Alternatives, and
                  Directions},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {226},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106775},
  doi          = {10.1109/ICCD.2002.1106775},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/FengKP02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/GalkePV02,
  author       = {Christian Galke and
                  Matthias Pflanz and
                  Heinrich Theodor Vierhaus},
  title        = {A Test Processor Concept for Systems-on-a-Chip},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {210},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106772},
  doi          = {10.1109/ICCD.2002.1106772},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/GalkePV02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/GirodBEE02,
  author       = {Lewis Girod and
                  Vladimir Bychkovskiy and
                  Jeremy Elson and
                  Deborah Estrin},
  title        = {Locating Tiny Sensors in Time and Space: {A} Case Study},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {214--219},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106773},
  doi          = {10.1109/ICCD.2002.1106773},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/GirodBEE02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/GiustoBFFLOSG02,
  author       = {Paolo Giusto and
                  Jean{-}Yves Brunel and
                  Alberto Ferrari and
                  Eliane Fourgeau and
                  Luciano Lavagno and
                  Barry O'Rourke and
                  Alberto L. Sangiovanni{-}Vincentelli and
                  Emanuele Guasto},
  title        = {Models of IP's for Automotive Virtual Integration Platforms},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {379},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106797},
  doi          = {10.1109/ICCD.2002.1106797},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/GiustoBFFLOSG02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/GiustoBFFLS02,
  author       = {Paolo Giusto and
                  Jean{-}Yves Brunel and
                  Alberto Ferrari and
                  Eliane Fourgeau and
                  Luciano Lavagno and
                  Alberto L. Sangiovanni{-}Vincentelli},
  title        = {Automotive Virtual Integration Platforms: Why's, What's, and How's},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {370--378},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106796},
  doi          = {10.1109/ICCD.2002.1106796},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/GiustoBFFLS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Gordon-RossV02,
  author       = {Ann Gordon{-}Ross and
                  Frank Vahid},
  title        = {Dynamic Loop Caching Meets Preloaded Loop Caching - {A} Hybrid Approach},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {446--449},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106810},
  doi          = {10.1109/ICCD.2002.1106810},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Gordon-RossV02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Groeneveld02,
  author       = {Patrick Groeneveld},
  title        = {Physical Design Challenges for Billion Transistor Chips},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {78--83},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106751},
  doi          = {10.1109/ICCD.2002.1106751},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Groeneveld02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HenrikssonNL02,
  author       = {Tomas Henriksson and
                  Ulf Nordqvist and
                  Dake Liu},
  title        = {Embedded Protocol Processor for Fast and Efficient Packet Reception},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {414},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106804},
  doi          = {10.1109/ICCD.2002.1106804},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/HenrikssonNL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Hofstee02,
  author       = {H. Peter Hofstee},
  title        = {Power-Constrained Microprocessor Design},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {14--16},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106740},
  doi          = {10.1109/ICCD.2002.1106740},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Hofstee02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HossainPBP02,
  author       = {Afzal Hossain and
                  Daniel J. Pease and
                  James S. Burns and
                  Nasima Parveen},
  title        = {Trace Cache Performance Parameters},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {348--355},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106793},
  doi          = {10.1109/ICCD.2002.1106793},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/HossainPBP02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HuJSCM02,
  author       = {Zhigang Hu and
                  Philo Juang and
                  Kevin Skadron and
                  Douglas W. Clark and
                  Margaret Martonosi},
  title        = {Applying Decay Strategies to Branch Predictors for Leakage Energy
                  Savings},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {442--445},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106809},
  doi          = {10.1109/ICCD.2002.1106809},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/HuJSCM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HuS02,
  author       = {Haitian Hu and
                  Sachin S. Sapatnekar},
  title        = {Efficient PEEC-Based Inductance Extraction Using Circuit-Aware Techniques},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {434},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106808},
  doi          = {10.1109/ICCD.2002.1106808},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/HuS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HuangGB02,
  author       = {I{-}De Huang and
                  Sandeep K. Gupta and
                  Melvin A. Breuer},
  title        = {Accurate and Efficient Static Timing Analysis with Crosstalk},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {265--272},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106780},
  doi          = {10.1109/ICCD.2002.1106780},
  timestamp    = {Thu, 21 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/HuangGB02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/IhmorVH02,
  author       = {Stefan Ihmor and
                  Markus Visarius and
                  Wolfram Hardt},
  title        = {A Design Methodology for Application-Specific Real-Time Interfaces},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {500},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106820},
  doi          = {10.1109/ICCD.2002.1106820},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/IhmorVH02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/InoueJF02,
  author       = {Michiko Inoue and
                  Chikateru Jinno and
                  Hideo Fujiwara},
  title        = {An Extended Class of Sequential Circuits with Combinational Test Generation
                  Complexity},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {200--205},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106770},
  doi          = {10.1109/ICCD.2002.1106770},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/InoueJF02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/InoueMM02,
  author       = {Koji Inoue and
                  Vasily G. Moshnyaga and
                  Kazuaki J. Murakami},
  title        = {A Low Energy Set-Associative I-Cache with Extended {BTB}},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {187},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106768},
  doi          = {10.1109/ICCD.2002.1106768},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/InoueMM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Kakerow02,
  author       = {Ralf Kakerow},
  title        = {Low Power Design Methodologies for Mobile Communication},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {8--13},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106739},
  doi          = {10.1109/ICCD.2002.1106739},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Kakerow02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KapasiDROK02,
  author       = {Ujval J. Kapasi and
                  William J. Dally and
                  Scott Rixner and
                  John D. Owens and
                  Brucek Khailany},
  title        = {The Imagine Stream Processor},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {282--288},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106783},
  doi          = {10.1109/ICCD.2002.1106783},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KapasiDROK02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KeutzerMN02,
  author       = {Kurt Keutzer and
                  Sharad Malik and
                  A. Richard Newton},
  title        = {From {ASIC} to {ASIP:} The Next Design Discontinuity},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {84--90},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106752},
  doi          = {10.1109/ICCD.2002.1106752},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/KeutzerMN02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KhailanyDCKNT02,
  author       = {Brucek Khailany and
                  William J. Dally and
                  Andrew Chang and
                  Ujval J. Kapasi and
                  Jinyung Namkoong and
                  Brian Towles},
  title        = {{VLSI} Design and Verification of the Imagine Processor},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {289--294},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106784},
  doi          = {10.1109/ICCD.2002.1106784},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KhailanyDCKNT02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KimPW02,
  author       = {Jinwoo Kim and
                  Krishna V. Palem and
                  Weng{-}Fai Wong},
  title        = {A Framework for Data Prefetching Using Off-Line Training of Markovian
                  Predictors},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {340--347},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106792},
  doi          = {10.1109/ICCD.2002.1106792},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/KimPW02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KimST02,
  author       = {Huesung Kim and
                  Arun K. Somani and
                  Akhilesh Tyagi},
  title        = {Adaptive Balanced Computing {(ABC)} Microprocessor Using Reconfigurable
                  Functional Caches (RFCs)},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {138--144},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106761},
  doi          = {10.1109/ICCD.2002.1106761},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KimST02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Kuroda02,
  author       = {Tadahiro Kuroda},
  title        = {Low-Power, High-Speed {CMOS} {VLSI} Design},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {310--315},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106787},
  doi          = {10.1109/ICCD.2002.1106787},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Kuroda02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LangB02,
  author       = {Tom{\'{a}}s Lang and
                  Javier D. Bruguera},
  title        = {Floating-Point Fused Multiply-Add with Reduced Latency},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {145},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106762},
  doi          = {10.1109/ICCD.2002.1106762},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LangB02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LeyG02,
  author       = {Manfred Ley and
                  Herbert Gr{\"{u}}nbacher},
  title        = {TTA-C2, {A} Single Chip Communication Controller for the Time-Triggered-Protocol},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {450--453},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106811},
  doi          = {10.1109/ICCD.2002.1106811},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LeyG02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LiaoSN02,
  author       = {Stan Y. Liao and
                  Narendra V. Shenoy and
                  William Nicholls},
  title        = {An Efficient External-Memory Implementation of Region Query with Application
                  to Area Routing},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {36--41},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106744},
  doi          = {10.1109/ICCD.2002.1106744},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LiaoSN02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LinCW02,
  author       = {Chang{-}Tzu Lin and
                  De{-}Sheng Chen and
                  Yiwen Wang},
  title        = {{GPE:} {A} New Representation for {VLSI} Floorplan Problem},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {42--44},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106745},
  doi          = {10.1109/ICCD.2002.1106745},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LinCW02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LyonDMM02,
  author       = {Terry Lyon and
                  Eric Delano and
                  Cameron McNairy and
                  Dean Mulla},
  title        = {Data Cache Design Considerations for the Itanium\({}^{\mbox{{\textregistered}}}\)
                  2 Processor},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {356},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106794},
  doi          = {10.1109/ICCD.2002.1106794},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LyonDMM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/MemikF02,
  author       = {Seda Ogrenci Memik and
                  Farzan Fallah},
  title        = {Accelerated SAT-based Scheduling of Control/Data Flow Graphs},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {395},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106801},
  doi          = {10.1109/ICCD.2002.1106801},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/MemikF02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/MiyaseKPR02,
  author       = {Kohei Miyase and
                  Seiji Kajihara and
                  Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {Don't-Care Identification on Specific Bits of Test Patterns},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {194--199},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106769},
  doi          = {10.1109/ICCD.2002.1106769},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/MiyaseKPR02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/MizunoKOTUEMMM02,
  author       = {Atsushi Mizuno and
                  Kazuyoshi Kohno and
                  Ryuichiro Ohyama and
                  Takahiro Tokuyoshi and
                  Hironori Uetani and
                  Hans Eichel and
                  Takashi Miyamori and
                  Nobu Matsumoto and
                  Masataka Matsui},
  title        = {Design Methodology and System for a Configurable Media Embedded Processor
                  Extensible to {VLIW} Architecture},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {2--7},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106738},
  doi          = {10.1109/ICCD.2002.1106738},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/MizunoKOTUEMMM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/MolinaGT02,
  author       = {Carlos Molina and
                  Antonio Gonz{\'{a}}lez and
                  Jordi Tubella},
  title        = {Trace-Level Speculative Multithreaded Architecture},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {402--407},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106802},
  doi          = {10.1109/ICCD.2002.1106802},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/MolinaGT02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/MoriokaS02,
  author       = {Sumio Morioka and
                  Akashi Satoh},
  title        = {A 10 Gbps Full-AES Crypto Design with a Twisted-BDD S-Box Architecture},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {98--103},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106754},
  doi          = {10.1109/ICCD.2002.1106754},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/MoriokaS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/NikaraVTSL02,
  author       = {Jari Nikara and
                  Stamatis Vassiliadis and
                  Jarmo Takala and
                  Mihai Sima and
                  Petri Liuha},
  title        = {Parallel Multiple-Symbol Variable-Length Decoding},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {126--131},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106759},
  doi          = {10.1109/ICCD.2002.1106759},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/NikaraVTSL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/OwensRKMTSD02,
  author       = {John D. Owens and
                  Scott Rixner and
                  Ujval J. Kapasi and
                  Peter R. Mattson and
                  Brian Towles and
                  Ben Serebrin and
                  William J. Dally},
  title        = {Media Processing Applications on the Imagine Stream Processor},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {295--302},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106785},
  doi          = {10.1109/ICCD.2002.1106785},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/OwensRKMTSD02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/OzevO02,
  author       = {Sule Ozev and
                  Alex Orailoglu},
  title        = {Cost-Effective Concurrent Test Hardware Design for Linear Analog Circuits},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {258--264},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106779},
  doi          = {10.1109/ICCD.2002.1106779},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/OzevO02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/PengMT02,
  author       = {Hong Peng and
                  Yassine Mokhtari and
                  Sofi{\`{e}}ne Tahar},
  title        = {Environment Synthesis for Compositional Model Checking},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {70},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106750},
  doi          = {10.1109/ICCD.2002.1106750},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/PengMT02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/PineiroEB02,
  author       = {Jos{\'{e}}{-}Alejandro Pi{\~{n}}eiro and
                  Milos D. Ercegovac and
                  Javier D. Bruguera},
  title        = {Analysis of the Tradeoffs for the Implementation of a High-Radix Logarithm},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {132--137},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106760},
  doi          = {10.1109/ICCD.2002.1106760},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/PineiroEB02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/PomeranzR02,
  author       = {Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {On the Coverage of Delay Faults in Scan Designs with Multiple Scan
                  Chains},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {206--209},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106771},
  doi          = {10.1109/ICCD.2002.1106771},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/PomeranzR02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Ramacher02,
  author       = {Ulrich Ramacher},
  title        = {Application Specific Embedded Processors for Next Generation Communication
                  Systems},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCD.2002.10002},
  doi          = {10.1109/ICCD.2002.10002},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Ramacher02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Rattner02,
  author       = {Justin R. Rattner},
  title        = {Supercomputing on a Chip: Evolution and Challenges},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCD.2002.10003},
  doi          = {10.1109/ICCD.2002.10003},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Rattner02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/RoopSR02,
  author       = {Partha S. Roop and
                  Arcot Sowmya and
                  S. Ramesh},
  title        = {k-time Forced Simulation: {A} Formal Verification Technique for {IP}
                  Reuse},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {50--55},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106747},
  doi          = {10.1109/ICCD.2002.1106747},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/RoopSR02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/RosingerAN02,
  author       = {Paul M. Rosinger and
                  Bashir M. Al{-}Hashimi and
                  Nicola Nicolici},
  title        = {Low Power Mixed-Mode {BIST} Based on Mask Pattern Generation Using
                  Dual {LFSR} Re-Seeding},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {474--479},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106816},
  doi          = {10.1109/ICCD.2002.1106816},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/RosingerAN02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/RuanNHL02,
  author       = {Shanq{-}Jang Ruan and
                  Edwin Naroska and
                  Chia{-}Lin Ho and
                  Feipei Lai},
  title        = {Power Analysis of Bipartition and Dual-Encoding Architecture for Pipelined
                  Circuits},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {327},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106790},
  doi          = {10.1109/ICCD.2002.1106790},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/RuanNHL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SavirG02,
  author       = {Jacob Savir and
                  Zhen Guo},
  title        = {On the Detectability of Parametric Faults in Analog Circuits},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {273--276},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106781},
  doi          = {10.1109/ICCD.2002.1106781},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/SavirG02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SavvidesS02,
  author       = {Andreas Savvides and
                  Mani B. Srivastava},
  title        = {A Distributed Computation Platform for Wireless Embedded Sensing},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {220--225},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106774},
  doi          = {10.1109/ICCD.2002.1106774},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/SavvidesS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Scheffer02,
  author       = {Louis Scheffer},
  title        = {Methodologies and Tools for Pipelined On-Chip Interconnect},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {152--157},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106763},
  doi          = {10.1109/ICCD.2002.1106763},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Scheffer02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Schlosser02,
  author       = {Joachim Schlosser},
  title        = {Requirements for Automotive System Engineering Tools},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {364--369},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106795},
  doi          = {10.1109/ICCD.2002.1106795},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Schlosser02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SchollB02,
  author       = {Christoph Scholl and
                  Bernd Becker},
  title        = {Checking Equivalence for Circuits Containing Incompletely Specified
                  Boxes},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {56--63},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106748},
  doi          = {10.1109/ICCD.2002.1106748},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/SchollB02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SeoC02,
  author       = {Chung{-}Seok (Andy) Seo and
                  Abhijit Chatterjee},
  title        = {A {CAD} Tool for System-on-Chip Placement and Routing with Free-Space
                  Optical Interconnect},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {24--29},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106742},
  doi          = {10.1109/ICCD.2002.1106742},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/SeoC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SerebrinOCCKMNRD02,
  author       = {Ben Serebrin and
                  John D. Owens and
                  Chen H. Chen and
                  Stephen P. Crago and
                  Ujval J. Kapasi and
                  Peter R. Mattson and
                  Jinyung Namkoong and
                  Scott Rixner and
                  William J. Dally},
  title        = {A Stream Processor Development Platform},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {303},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106786},
  doi          = {10.1109/ICCD.2002.1106786},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/SerebrinOCCKMNRD02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ShiL02,
  author       = {Zhijie Shi and
                  Ruby B. Lee},
  title        = {Subword Sorting with Versatile Permutation Instructions},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {234--241},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106776},
  doi          = {10.1109/ICCD.2002.1106776},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ShiL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Srisa-anLC02,
  author       = {Witawas Srisa{-}an and
                  Chia{-}Tien Dan Lo and
                  J. Morris Chang},
  title        = {Performance Enhancements to the Active Memory System},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {249},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106778},
  doi          = {10.1109/ICCD.2002.1106778},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Srisa-anLC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SteiningerV02,
  author       = {Andreas Steininger and
                  Johann Vilanek},
  title        = {Using Offline and Online {BIST} to Improve System Dependability -
                  The {TTPC-C} Example},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {277},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106782},
  doi          = {10.1109/ICCD.2002.1106782},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/SteiningerV02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/TanRJ02,
  author       = {Tat Kee Tan and
                  Anand Raghunathan and
                  Niraj K. Jha},
  title        = {Embedded Operating System Energy Analysis and Macro-Modeling},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {515--520},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106822},
  doi          = {10.1109/ICCD.2002.1106822},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/TanRJ02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/TaubinFM02,
  author       = {Alexander Taubin and
                  Karl Fant and
                  John McCardle},
  title        = {Design of Delay-Insensitive Three Dimension Pipeline Array Multiplier
                  for Image Processing},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {104--111},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106755},
  doi          = {10.1109/ICCD.2002.1106755},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/TaubinFM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ThorpL02,
  author       = {Tyler Thorp and
                  Dean Liu},
  title        = {Analysis of Blocking Dynamic Circuits},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {122},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106758},
  doi          = {10.1109/ICCD.2002.1106758},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ThorpL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/VlaovicD02,
  author       = {Stevan A. Vlaovic and
                  Edward S. Davidson},
  title        = {{TAXI:} Trace Analysis for {X86} Interpretation},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {508--514},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106821},
  doi          = {10.1109/ICCD.2002.1106821},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/VlaovicD02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Walter02,
  author       = {Joerg Walter},
  title        = {Functional Verification of the {IBM} zSeries eServer z900 System},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {17},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106741},
  doi          = {10.1109/ICCD.2002.1106741},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Walter02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WatcharawitchM02,
  author       = {Panit Watcharawitch and
                  Simon W. Moore},
  title        = {{JMA:} The Java-Multithreading Architecture for Embedded Processors},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {527},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106824},
  doi          = {10.1109/ICCD.2002.1106824},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/WatcharawitchM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/YangCS02,
  author       = {Xiaojian Yang and
                  Bo{-}Kyung Choi and
                  Majid Sarrafzadeh},
  title        = {A Standard-Cell Placement Tool for Designs with High Row Utilization},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {45},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106746},
  doi          = {10.1109/ICCD.2002.1106746},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/YangCS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/YangGGT02,
  author       = {Hongbo Yang and
                  Ramaswamy Govindarajan and
                  Guang R. Gao and
                  Kevin B. Theobald},
  title        = {Power-Performance Trade-Offs for Energy-Efficient Architectures: {A}
                  Quantitative Study},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {174--179},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106766},
  doi          = {10.1109/ICCD.2002.1106766},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/YangGGT02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/YiL02,
  author       = {Joshua J. Yi and
                  David J. Lilja},
  title        = {Improving Processor Performance by Simplifying and Bypassing Trivial
                  Computations},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {462},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106814},
  doi          = {10.1109/ICCD.2002.1106814},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/YiL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ZhongK02,
  author       = {Guoan Zhong and
                  Cheng{-}Kok Koh},
  title        = {Exact Closed Form Formula for Partial Mutual Inductances of On-Chip
                  Interconnects},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {428--433},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106807},
  doi          = {10.1109/ICCD.2002.1106807},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ZhongK02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ZhongLFJ02,
  author       = {Lin Zhong and
                  Jiong Luo and
                  Yunsi Fei and
                  Niraj K. Jha},
  title        = {Register Binding Based Power Management for High-level Synthesis of
                  Control-Flow Intensive Behaviors},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {391--394},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106800},
  doi          = {10.1109/ICCD.2002.1106800},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ZhongLFJ02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/iccd/2002,
  title        = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/8166/proceeding},
  isbn         = {0-7695-1700-5},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/2002.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics