Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/iscas/iscas2015.bht:"
@inproceedings{DBLP:conf/iscas/0001D15, author = {Atin Mukherjee and Anindya Sundar Dhar}, title = {New triple-transistor based defect-tolerant systems for reliable digital architectures}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1917--1920}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169047}, doi = {10.1109/ISCAS.2015.7169047}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/0001D15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/0001QYHMNSM15, author = {Akihisa Yamada and Yan Qian and Masayuki Yamaguchi and Hiroshi Honjoh and Takahiro Morishita and Shunsuke Nagasawa and Shinji Shinjo and Masayuki Miyamoto}, title = {A multi-core architecture of digital back-end for large mutual capacitance touch sensing systems}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1382--1385}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168900}, doi = {10.1109/ISCAS.2015.7168900}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/0001QYHMNSM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AbdelfattahDNK15, author = {Moataz Abdelfattah and Brian Dupaix and Syed R. Naqvi and Waleed Khalil}, title = {A fully-integrated switched capacitor voltage regulator for near-threshold applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {201--204}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168605}, doi = {10.1109/ISCAS.2015.7168605}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AbdelfattahDNK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AbdelfattahRSS15, author = {Omar Abdelfattah and Gordon W. Roberts and Ishiang Shih and Yi{-}Chi Shih}, title = {A 0.35-V bulk-driven self-biased {OTA} with rail-to-rail input range in 65 nm {CMOS}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {257--260}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168619}, doi = {10.1109/ISCAS.2015.7168619}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AbdelfattahRSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AbdelsalamHMI15, author = {Hoda Abdelsalam and Emad Hegazi and Hassan Mostafa and Yehea I. Ismail}, title = {A tunable multi-band/multi-standard receiver front-end supporting {LTE}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {974--977}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168798}, doi = {10.1109/ISCAS.2015.7168798}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AbdelsalamHMI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AbdollahvandOGG15, author = {Somayeh Abdollahvand and Lu{\'{\i}}s Bica Oliveira and Lu{\'{\i}}s Gomes and Jo{\~{a}}o Goes}, title = {A low-voltage voltage-controlled ring-oscillator employing dynamic-threshold-MOS and body-biasing techniques}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1294--1297}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168878}, doi = {10.1109/ISCAS.2015.7168878}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AbdollahvandOGG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AbdollahvandPGG15, author = {Somayeh Abdollahvand and Nuno Paulino and Lu{\'{\i}}s Gomes and Jo{\~{a}}o Goes}, title = {A current-mode VCO-based amplifier-less 2\({}^{\mbox{nd}}\)-order {\(\Delta\)}{\(\Sigma\)} modulator with over 85dB {SNDR}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2037--2040}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169077}, doi = {10.1109/ISCAS.2015.7169077}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AbdollahvandPGG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AcharyyaNN15, author = {Amit Acharyya and Mavuduru Neehar and Ganesh R. Naik}, title = {An accurate clustering algorithm for fast protein-profiling using {SCICA} on {MALDI-TOF}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {69--72}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168572}, doi = {10.1109/ISCAS.2015.7168572}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AcharyyaNN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AdelSL15, author = {Hussein Adel and Marc Sabut and Marie{-}Minerve Lou{\"{e}}rat}, title = {1.1-V 200 MS/s 12-bit digitally calibrated pipeline {ADC} in 40 nm {CMOS}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2281--2284}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169138}, doi = {10.1109/ISCAS.2015.7169138}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AdelSL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AdhikaryKSB15, author = {Avishek Adhikary and Munmun Khanra and Siddhartha Sen and Karabi Biswas}, title = {Realization of a carbon nanotube based electrochemical fractor}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2329--2332}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169150}, doi = {10.1109/ISCAS.2015.7169150}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AdhikaryKSB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AfifiMHTM15, author = {Mohamed Afifi and Michael Maurer and Thorsten Hehn and Armin Taschwer and Yiannos Manoli}, title = {An automatic tuning technique for background frequency calibration in gyroscope interfaces based on high order bandpass Delta-Sigma modulators}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1730--1733}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168987}, doi = {10.1109/ISCAS.2015.7168987}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AfifiMHTM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AguayoPG15, author = {Adrian Enriquez Aguayo and Oliver Paul and Tzeno Galchev}, title = {Integrated synchronous electric charge extraction system for piezoelectric energy harvesters}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1090--1093}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168827}, doi = {10.1109/ISCAS.2015.7168827}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AguayoPG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AhmadATS15, author = {Waqas Ahmad and Mohammed Abdulaziz and Markus T{\"{o}}rm{\"{a}}nen and Henrik Sj{\"{o}}land}, title = {{CMOS} adaptive {TIA} with embedded single-ended to differential conversion for analog optical links}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {658--661}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168719}, doi = {10.1109/ISCAS.2015.7168719}, timestamp = {Mon, 01 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AhmadATS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AhmadXTS15, author = {Waqas Ahmad and Leijun Xu and Markus T{\"{o}}rm{\"{a}}nen and Henrik Sj{\"{o}}land}, title = {A fully integrated 26 dBm linearized {RF} power amplifier in 65nm {CMOS} technology}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1306--1309}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168881}, doi = {10.1109/ISCAS.2015.7168881}, timestamp = {Mon, 01 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AhmadXTS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AhmedMC15, author = {Mohammad A. Ahmed and Sucheta Mohapatra and Malgorzata Chrzanowska{-}Jeske}, title = {Dynamic nets-to-TSVs assignment in 3D floorplanning}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1870--1873}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169022}, doi = {10.1109/ISCAS.2015.7169022}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AhmedMC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AhmedSTB15, author = {Moaaz Ahmed and Ikramullah Shah and Fang Tang and Amine Bermak}, title = {An improved recycling folded cascode amplifier with gain boosting and phase margin enhancement}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2473--2476}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169186}, doi = {10.1109/ISCAS.2015.7169186}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AhmedSTB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AitaCB15, author = {Andr{\'{e}} Luiz Aita and Jorge V. de la Cruz and Rizwan Bashirullah}, title = {A 0.45V {CMOS} relaxation oscillator with {\(\pm\)}2.5{\%} frequency stability from -55{\textdegree}C to 125{\textdegree}C}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {493--496}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168678}, doi = {10.1109/ISCAS.2015.7168678}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AitaCB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AkinCNMSL15, author = {Abdulkadir Akin and Raffaele Capoccia and Jonathan Narinx and Jonathan Masur and Alexandre Schmid and Yusuf Leblebici}, title = {Live demonstration: Real-time free viewpoint synthesis using three-camera disparity estimation hardware}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1908}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169041}, doi = {10.1109/ISCAS.2015.7169041}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AkinCNMSL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AkinCNMSL15a, author = {Abdulkadir Akin and Raffaele Capoccia and Jonathan Narinx and Jonathan Masur and Alexandre Schmid and Yusuf Leblebici}, title = {Real-time free viewpoint synthesis using three-camera disparity estimation hardware}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2525--2528}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169199}, doi = {10.1109/ISCAS.2015.7169199}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AkinCNMSL15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AksoyFM15, author = {Levent Aksoy and Paulo F. Flores and Jos{\'{e}} Monteiro}, title = {Approximation of multiple constant multiplications using minimum look-up tables on {FPGA}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2884--2887}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169289}, doi = {10.1109/ISCAS.2015.7169289}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AksoyFM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Al-TaeeYY15, author = {Alaa R. Al{-}Taee and Fei Yuan and Andy Gean Ye}, title = {Minimum jitter adaptive decision feedback equalizer for 4PAM serial links}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2868--2871}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169285}, doi = {10.1109/ISCAS.2015.7169285}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Al-TaeeYY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AlamgirBSR15, author = {Mohammed Alamgir and Iftekhar Ibne Basith and Tareq Muhammad Supon and Rashid Rashidzadeh}, title = {Improved bus-shift coding for low-power {I/O}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2940--2943}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169303}, doi = {10.1109/ISCAS.2015.7169303}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AlamgirBSR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AlbanoGM15, author = {Domenico Albano and Marco Grassi and Piero Malcovati}, title = {A low power 12-Bit {ENOB} {SAR} {ADC} for silicon drift {X} and gamma ray detector read-out}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {297--300}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168629}, doi = {10.1109/ISCAS.2015.7168629}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AlbanoGM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AlhavaR15, author = {Juuso Alhava and Markku Renfors}, title = {Integer-to-integer complex extended lapped transform}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2612--2615}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169221}, doi = {10.1109/ISCAS.2015.7169221}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AlhavaR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AliRHTHH15, author = {Ehsan Ali and Wenceslas Rahajandraibe and Fayrouz Haddad and Ndiogou Tall and Christian Hangmann and Christian Hedayat}, title = {Simulation and validation of arbitrary ordered VSCP-PLLs using event-driven macromodeling}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {878--881}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168774}, doi = {10.1109/ISCAS.2015.7168774}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AliRHTHH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AluthwalaWALP15, author = {Pasindu Aluthwala and Neil Weste and Andrew Adams and Torsten Lehmann and Sri Parameswaran}, title = {Design of a digital harmonic-cancelling sine-wave synthesizer with 100 MHz output frequency, 43.5 dB SFDR, and 2.26 mW power}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {3052--3055}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169331}, doi = {10.1109/ISCAS.2015.7169331}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AluthwalaWALP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AmbroseHCG0MIP15, author = {Jude Angelo Ambrose and Nick Higgins and Mrinal Chakravarthy and Shivam Gargg and Tuo Li and Daniel Murphy and Aleksandar Ignjatovic and Sri Parameswaran}, title = {{ARCHER:} Communication-based predictive architecture selection for application specific multiprocessor Systems-on-Chip}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {413--416}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168658}, doi = {10.1109/ISCAS.2015.7168658}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AmbroseHCG0MIP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AminiAS15, author = {Marzieh Amini and M. Omair Ahmad and M. N. Shanmukha Swamy}, title = {A new map estimator for wavelet domain image denoising using vector-based hidden Markov model}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {445--448}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168666}, doi = {10.1109/ISCAS.2015.7168666}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AminiAS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AmirabadiZBA15, author = {Amir Amirabadi and Abolfazl Zokaei and Mohammad Bagheri and Fatemeh Alirezazadeh}, title = {Highly linear wide-band differential {LNA} using active feedback as post distortion}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {654--657}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168718}, doi = {10.1109/ISCAS.2015.7168718}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AmirabadiZBA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AnastasiouTA15, author = {Anthi Anastasiou and Yiorgos Tsiatouhas and Angela Arapoyanni}, title = {On the reuse of existing error tolerance circuitry for low power scan testing}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1578--1581}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168949}, doi = {10.1109/ISCAS.2015.7168949}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AnastasiouTA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AnderssonR15, author = {Oskar Andersson and Joachim Neves Rodrigues}, title = {A 400 mV atrial fibrillation detector with 0.56 pJ/operation in 65nm {CMOS}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2628--2631}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169225}, doi = {10.1109/ISCAS.2015.7169225}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AnderssonR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AndreouPCRLPCJV15, author = {Charalambos M. Andreou and Alessandro Paccagnella and Diego Gonz{\'{a}}lez Casta{\~{n}}o and Faustino G{\'{o}}mez Rodr{\'{\i}}guez and Valentino Liberali and Alexander V. Prokofiev and Cristiano Calligaro and Arto Javanainen and Ari Virtanen and Daniel Nahmad and Julius Georgiou}, title = {A subthreshold, low-power, {RHBD} reference circuit, for earth observation and communication satellites}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2245--2248}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169129}, doi = {10.1109/ISCAS.2015.7169129}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AndreouPCRLPCJV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ArdakaniS15, author = {Arash Ardakani and Mahdi Shabany}, title = {An efficient max-log {MAP} algorithm for {VLSI} implementation of turbo decoders}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1794--1797}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169003}, doi = {10.1109/ISCAS.2015.7169003}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ArdakaniS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ArgyropoulosL15, author = {Paraskevas E. Argyropoulos and Hanoch Lev{-}Ari}, title = {Digital spur mitigation in high-speed block-parallel digital filter realizations}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {810--813}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168757}, doi = {10.1109/ISCAS.2015.7168757}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ArgyropoulosL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AroudiGFAHM15, author = {Abdelali El Aroudi and Germain Garcia and Dani{\`{e}}le Fournier and Mohammed S. Al{-}Numay and Khalifa Al{-}Hosani and Luis Mart{\'{\i}}nez{-}Salamero}, title = {Bifurcation behavior in a two-loop {DC-DC} quadratic boost converter}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2489--2492}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169190}, doi = {10.1109/ISCAS.2015.7169190}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AroudiGFAHM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AroudiLAI15, author = {Abdelali El Aroudi and Weiguo Lu and Mohammed S. Al{-}Numay and Herbert H. C. Iu}, title = {Subharmonic instability boundary in {DC-AC} H-bridge inverters with double edge {PWM}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2089--2092}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169090}, doi = {10.1109/ISCAS.2015.7169090}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AroudiLAI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AscoliTSMM15, author = {Alon Ascoli and Ronald Tetzlaff and Stefan Slesazeck and Hannes M{\"{a}}hne and Thomas Mikolajick}, title = {Stability analysis supports memristor circuit design}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1138--1141}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168839}, doi = {10.1109/ISCAS.2015.7168839}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AscoliTSMM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AsenovDRAAAG15, author = {A. Asenov and Jie Ding and Dave Reid and Plamen Asenov and Salvatore M. Amoroso and Fikru Adamu{-}Lema and Louis Gerrer}, title = {Unified approach for simulation of statistical reliability in nanoscale {CMOS} transistors from devices to circuits}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2449--2452}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169180}, doi = {10.1109/ISCAS.2015.7169180}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AsenovDRAAAG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AshrafAKZSRLD15, author = {Rizwan A. Ashraf and Ahmad Alzahrani and Navid Khoshavi and Ramtin Zand and Soheil Salehi and Arman Roohi and Mingjie Lin and Ronald F. DeMara}, title = {Reactive rejuvenation of {CMOS} logic paths using self-activating voltage domains}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2944--2947}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169304}, doi = {10.1109/ISCAS.2015.7169304}, timestamp = {Wed, 09 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AshrafAKZSRLD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AtefEH15, author = {Mohamed Atef and Mohamed El{-}Nozahi and Emad Hegazi}, title = {A second-order noise-shaping time-to-digital converter using switched-ring oscillator}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1822--1825}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169010}, doi = {10.1109/ISCAS.2015.7169010}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AtefEH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AttarzadehLY15, author = {Hourieh Attarzadeh and Sung Kyu Lim and Trond Ytterdal}, title = {Stacking integration methodologies in 3D {IC} for 3D ultrasound image processing application: {A} stochastic flash {ADC} design case study}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1266--1269}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168871}, doi = {10.1109/ISCAS.2015.7168871}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AttarzadehLY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AyachitRK15, author = {Agasthya Ayachit and Alberto Reatti and Marian K. Kazimierczuk}, title = {Small-signal modeling of the {PWM} boost {DC-DC} converter at boundary-conduction mode by circuit averaging technique}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {229--232}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168612}, doi = {10.1109/ISCAS.2015.7168612}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AyachitRK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AyalaBGPH15, author = {Christopher Lawrence Ayala and Antonios Bazigos and Daniel Grogg and Yu Pu and Christoph Hagleitner}, title = {Ultra-low-energy adiabatic dynamic logic circuits using nanoelectromechanical switches}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2596--2599}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169217}, doi = {10.1109/ISCAS.2015.7169217}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AyalaBGPH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AzarianC15, author = {Ali Azarian and Jo{\~{a}}o M. P. Cardoso}, title = {Reducing misses to external memory accesses in task-level pipelining}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1422--1425}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168910}, doi = {10.1109/ISCAS.2015.7168910}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AzarianC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AzconaCMCG15, author = {Cristina Azcona and Bel{\'{e}}n Calvo and Nicol{\'{a}}s Medrano and Santiago Celma and Cecilia Gimeno}, title = {A 1.2-V 1.35-{\(\mu\)}W all {MOS} temperature sensor for wireless sensor networks}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {365--368}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168646}, doi = {10.1109/ISCAS.2015.7168646}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AzconaCMCG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AzconaCMCG15a, author = {Cristina Azcona and Bel{\'{e}}n Calvo and Nicol{\'{a}}s Medrano and Santiago Celma and Cecilia Gimeno}, title = {A wide-range dual-modulus prescaler using a novel {SCL} biasing technique}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2225--2228}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169124}, doi = {10.1109/ISCAS.2015.7169124}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AzconaCMCG15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BackerARHSK15, author = {Jerry Backer and Sk Subidh Ali and Kurt Rosenfeld and David H{\'{e}}ly and Ozgur Sinanoglu and Ramesh Karri}, title = {A secure design-for-test infrastructure for lifetime security of SoCs}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {37--40}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168564}, doi = {10.1109/ISCAS.2015.7168564}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BackerARHSK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BaeYJ15, author = {Woo{-}Rham Bae and Chang{-}Soo Yoon and Deog{-}Kyoon Jeong}, title = {A low-power pulse position modulation transceiver}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1614--1617}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168958}, doi = {10.1109/ISCAS.2015.7168958}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BaeYJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BaekE15, author = {Hyunho Baek and William R. Eisenstadt}, title = {Design and techniques for on-die power integrity noise measurement system with digital output}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1390--1393}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168902}, doi = {10.1109/ISCAS.2015.7168902}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BaekE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BahramiK15, author = {Khosro Bahrami and Alex C. Kot}, title = {Image splicing localization based on blur type inconsistency}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1042--1045}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168815}, doi = {10.1109/ISCAS.2015.7168815}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BahramiK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BakhshianiM15, author = {Mehran Bakhshiani and Pedram Mohseni}, title = {Voltage-based wideband measurement of transmission characteristics using an integrated receiver {IC}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2273--2276}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169136}, doi = {10.1109/ISCAS.2015.7169136}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BakhshianiM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BalasaAG015, author = {Florin Balasa and Noha Abuaesh and Cristian V. Gingu and Hongwei Zhu}, title = {Optimization of memory banking in embedded multidimensional signal processing applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2880--2883}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169288}, doi = {10.1109/ISCAS.2015.7169288}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BalasaAG015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Balatsoukas-Stimming15, author = {Alexios Balatsoukas{-}Stimming and Mani Bastani Parizi and Andreas Burg}, title = {On metric sorting for successive cancellation list decoding of polar codes}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1993--1996}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169066}, doi = {10.1109/ISCAS.2015.7169066}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Balatsoukas-Stimming15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BaltarSN15, author = {Leonardo Gomes Baltar and Israa Slim and Josef A. Nossek}, title = {Efficient filter bank multicarrier realizations for 5G}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2608--2611}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169220}, doi = {10.1109/ISCAS.2015.7169220}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BaltarSN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BanerjeeKRBB15, author = {Amitava Banerjee and Sougata Kar and Subhrajit Roy and Aritra Bhaduri and Arindam Basu}, title = {A current-mode spiking neural classifier with lumped dendritic nonlinearity}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {714--717}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168733}, doi = {10.1109/ISCAS.2015.7168733}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BanerjeeKRBB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BarretoAM15, author = {Francisco F. S. Barreto and Alexandre M. Amory and Fernando Gehm Moraes}, title = {Fault recovery protocol for distributed memory MPSoCs}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {421--424}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168660}, doi = {10.1109/ISCAS.2015.7168660}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BarretoAM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BartleyTNNM15, author = {Travis Bartley and Shuji Tanaka and Yutaka Nonomura and Takahiro Nakayama and Masanori Muroyama}, title = {Delay window blind oversampling clock and data recovery algorithm with wide tracking range}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1598--1601}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168954}, doi = {10.1109/ISCAS.2015.7168954}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BartleyTNNM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BashaPP15, author = {B. Chagun Basha and S{\'{e}}bastien Pillement and Stanislaw J. Piestrak}, title = {Fault-aware configurable logic block for reliable reconfigurable FPGAs}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2732--2735}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169251}, doi = {10.1109/ISCAS.2015.7169251}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BashaPP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BayasiTSMI15, author = {Nourhan Bayasi and Temesghen Tekeste and Hani H. Saleh and Baker Mohammad and Mohammed Ismail}, title = {A 65-nm low power {ECG} feature extraction system}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {746--749}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168741}, doi = {10.1109/ISCAS.2015.7168741}, timestamp = {Tue, 07 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BayasiTSMI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BeigneCLPTV15, author = {Edith Beign{\'{e}} and Fabien Clermidy and Didier Lattard and Ivan Miro Panades and Yvain Thonnart and Pascal Vivet}, title = {Fine-grain {DVFS} and {AVFS} techniques for complex SoC design: An overview of architectural solutions through technology nodes}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1550--1553}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168942}, doi = {10.1109/ISCAS.2015.7168942}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BeigneCLPTV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BenacerHK15, author = {Imad Benacer and Aicha Hamissi and Abdelhakim Khouas}, title = {A novel stereovision algorithm for obstacles detection based on U-V-disparity approach}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {369--372}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168647}, doi = {10.1109/ISCAS.2015.7168647}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BenacerHK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BenacerHK15a, author = {Imad Benacer and Aicha Hamissi and Abdelhakim Khouas}, title = {Hardware design and {FPGA} implementation for road plane extraction based on V-disparity approach}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2053--2056}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169081}, doi = {10.1109/ISCAS.2015.7169081}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BenacerHK15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BergerAPMH15, author = {Andreas Berger and Matteo Agostinelli and Robert Priewasser and Stefano Marsili and Mario Huemer}, title = {Unified digital sliding mode control with inductor current ripple reconstruction for {DC-DC} converters}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {213--216}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168608}, doi = {10.1109/ISCAS.2015.7168608}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BergerAPMH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BerhaultLJD15, author = {Guillaume Berhault and Camille Leroux and Christophe J{\'{e}}go and Dominique Dallet}, title = {Partial sums computation in polar codes decoding}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {826--829}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168761}, doi = {10.1109/ISCAS.2015.7168761}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BerhaultLJD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BerkolADPB15, author = {G{\"{o}}nen{\c{c}} Berkol and Engin Afacan and G{\"{u}}nhan D{\"{u}}ndar and Ali Emre Pusane and I. Faik Baskaya}, title = {A novel yield aware multi-objective analog circuit optimization tool}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2652--2655}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169231}, doi = {10.1109/ISCAS.2015.7169231}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BerkolADPB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BerkovichDA15, author = {Andrew Berkovich and Timir Datta{-}Chaudhuri and Pamela Abshire}, title = {A scalable 20 {\texttimes}20 fully asynchronous SPAD-based imaging sensor with {AER} readout}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1110--1113}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168832}, doi = {10.1109/ISCAS.2015.7168832}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BerkovichDA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BernalLRRC15, author = {Mar{\'{\i}}a de Rodanas Valero Bernal and Antonio J. L{\'{o}}pez{-}Mart{\'{\i}}n and Alejandro Roman{-}Loera and Jaime Ram{\'{\i}}rez{-}Angulo and Ram{\'{o}}n Gonz{\'{a}}lez Carvajal}, title = {Constant gm rail-to-rail {CMOS} OpAmp with only one differential pair and switched level shifters}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2461--2464}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169183}, doi = {10.1109/ISCAS.2015.7169183}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BernalLRRC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BernalLTRC15, author = {Mar{\'{\i}}a de Rodanas Valero Bernal and Antonio J. L{\'{o}}pez{-}Mart{\'{\i}}n and Shanta Thoutam and Jaime Ram{\'{\i}}rez{-}Angulo and Ram{\'{o}}n Gonz{\'{a}}lez Carvajal}, title = {Class {AB} two stage and folded cascode OpAmps based on a squaring circuit}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {253--256}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168618}, doi = {10.1109/ISCAS.2015.7168618}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BernalLTRC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BertoniBMPRS15, author = {Nicola Bertoni and Stefano Bocchi and Mauro Mangia and Fabio Pareschi and Riccardo Rovatti and Gianluca Setti}, title = {Ripple-based power-line communication in switching {DC-DC} converters exploiting switching frequency modulation}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {209--212}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168607}, doi = {10.1109/ISCAS.2015.7168607}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BertoniBMPRS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BertoniFAPRS15, author = {Nicola Bertoni and Giovanni Frattini and Pierluigi Albertini and Fabio Pareschi and Riccardo Rovatti and Gianluca Setti}, title = {A first implementation of a semi-analytically designed class-E resonant {DC-DC} converter}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {221--224}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168610}, doi = {10.1109/ISCAS.2015.7168610}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BertoniFAPRS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BertoniFMPRS15, author = {Nicola Bertoni and Giovanni Frattini and Roberto G. Massolini and Fabio Pareschi and Riccardo Rovatti and Gianluca Setti}, title = {A new semi-analytic approach for class-E resonant {DC-DC} converter design}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2485--2488}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169189}, doi = {10.1109/ISCAS.2015.7169189}, timestamp = {Mon, 13 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BertoniFMPRS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BhasinR15, author = {Shivam Bhasin and Francesco Regazzoni}, title = {A survey on hardware trojan detection techniques}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2021--2024}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169073}, doi = {10.1109/ISCAS.2015.7169073}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BhasinR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BidmeshkiM15, author = {Mohammad{-}Mahdi Bidmeshki and Yiorgos Makris}, title = {VeriCoq: {A} Verilog-to-Coq converter for proof-carrying hardware automation}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {29--32}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168562}, doi = {10.1109/ISCAS.2015.7168562}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BidmeshkiM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BielbyR15, author = {Steven Bielby and Gordon W. Roberts}, title = {An embedded probabilistic extraction unit for on-chip jitter measurements}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {113--116}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168583}, doi = {10.1109/ISCAS.2015.7168583}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BielbyR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BiggioSPS15, author = {Matteo Biggio and Flavio Stellino and Mauro Parodi and Marco Storace}, title = {A low-complexity circuit model of hysteresis}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1326--1329}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168886}, doi = {10.1109/ISCAS.2015.7168886}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BiggioSPS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BiswasAMCAKJ15, author = {Dwaipayan Biswas and Gerry Juans Ajiwibawa and Koushik Maharatna and Andy Cranny and Josy Achner and Jasmin Klemke and Michael Jobges}, title = {Real-time arm movement recognition using {FPGA}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {766--769}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168746}, doi = {10.1109/ISCAS.2015.7168746}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BiswasAMCAKJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BjorndalHL15, author = {Oystein Bjorndal and Svein{-}Erik Hamran and Tor Sverre Lande}, title = {{UWB} waveform generator for digital {CMOS} radar}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1510--1513}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168932}, doi = {10.1109/ISCAS.2015.7168932}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BjorndalHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BlokhinaOAGPPFG15, author = {Elena Blokhina and Diarmuid O'Connell and Dennis Andrade{-}Miceli and Sergi Gorreta{-}Marine and Joan Pons{-}Nin and Manuel Dom{\'{\i}}nguez Pumar and Orla Feely and Dimitri Galayko}, title = {Understanding complexity in multiphysics systems-on-a-chip: Modern approaches for design}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1546--1549}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168941}, doi = {10.1109/ISCAS.2015.7168941}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BlokhinaOAGPPFG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BohannanK15, author = {Gary Bohannan and Brenda Knauber}, title = {A physical experimental study of the fractional harmonic oscillator}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2341--2344}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169153}, doi = {10.1109/ISCAS.2015.7169153}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BohannanK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BonettiTB15, author = {Andrea Bonetti and Adam Teman and Andreas Burg}, title = {An overlap-contention free true-single-phase clock dual-edge-triggered flip-flop}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1850--1853}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169017}, doi = {10.1109/ISCAS.2015.7169017}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BonettiTB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BonninTCB15, author = {Michele Bonnin and Fabio L. Traversa and Fernando Corinto and Fabrizio Bonani}, title = {Phase and amplitude dynamics of noisy oscillators described by It{\^{o}} stochastic differential equations}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {3080--3083}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169338}, doi = {10.1109/ISCAS.2015.7169338}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BonninTCB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BouSA15, author = {Elisenda Bou and Raymond Sedwick and Eduard Alarc{\'{o}}n}, title = {Relay effects in multiple-node Resonant Inductive Coupling Wireless Power Transfer systems}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {690--693}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168727}, doi = {10.1109/ISCAS.2015.7168727}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BouSA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BouSA15a, author = {Elisenda Bou and Raymond Sedwick and Eduard Alarc{\'{o}}n}, title = {Scalability analysis of {SIMO} non-radiative resonant wireless power transfer systems based on circuit models}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {694--697}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168728}, doi = {10.1109/ISCAS.2015.7168728}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BouSA15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BrenesJBS15, author = {A. Brenes and J{\'{e}}r{\^{o}}me Juillard and Laurent Bourgois and F. Vinci dos Santos}, title = {Parameter estimation from nonlinear frequency response of {MEMS} resonators}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1318--1319}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168884}, doi = {10.1109/ISCAS.2015.7168884}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BrenesJBS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BuTLGH15, author = {Shi Bu and Hing Wa Tse and Ka Nang Leung and Jianping Guo and Marco Ho}, title = {Gain and slew rate enhancement for amplifiers through current starving and feeding}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2073--2076}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169086}, doi = {10.1109/ISCAS.2015.7169086}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BuTLGH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaetanoPGFRC15, author = {Diogo M. Caetano and Mois{\'{e}}s Piedade and Jo{\~{a}}o Gra{\c{c}}a and Jorge R. Fernandes and Luis S. Rosado and Tiago L. Costa}, title = {Live demonstration: {A} {CMOS} {ASIC} for precise reading of a Magnetoresistive sensor array for {NDT}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1906}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169039}, doi = {10.1109/ISCAS.2015.7169039}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CaetanoPGFRC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaiC15, author = {Chongli Cai and Degang Chen}, title = {Performance enhancement induced Trojan states in op-amps, their detection and removal}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {3020--3023}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169323}, doi = {10.1109/ISCAS.2015.7169323}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CaiC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaiM15, author = {Yifeng Cai and Yiannos Manoli}, title = {System design of a time-controlled broadband piezoelectric energy harvesting interface circuit}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {237--240}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168614}, doi = {10.1109/ISCAS.2015.7168614}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CaiM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CallegariB15, author = {Sergio Callegari and Federico Bizzarri}, title = {Teaching {\(\Delta\)}{\(\Sigma\)} modulators with PyDSM and scientific Python}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1802--1805}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169005}, doi = {10.1109/ISCAS.2015.7169005}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CallegariB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CallegariBB15, author = {Sergio Callegari and Federico Bizzarri and Angelo Brambilla}, title = {Optimal quantization noise management in wideband fractional-N PLLs}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {561--564}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168695}, doi = {10.1109/ISCAS.2015.7168695}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CallegariBB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CamusSE15, author = {Vincent Camus and Jeremy Schlachter and Christian C. Enz}, title = {Energy-efficient inexact speculative adder with high performance and accuracy control}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {45--48}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168566}, doi = {10.1109/ISCAS.2015.7168566}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CamusSE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaoL15, author = {Jiuwen Cao and Zhiping Lin}, title = {Performance bound of multiple hypotheses classification in compressed sensing}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {433--436}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168663}, doi = {10.1109/ISCAS.2015.7168663}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CaoL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaramGK15, author = {Juan Pablo Caram and Jeff Galloway and J. Stevenson Kenney}, title = {Harmonic ring oscillator time-to-digital converter}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {161--164}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168595}, doi = {10.1109/ISCAS.2015.7168595}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CaramGK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CarbonneauRGG15, author = {Marc{-}Andre Carbonneau and Alexandre J. Raymond and Eric Granger and Ghyslain Gagnon}, title = {Real-time visual play-break detection in sport events using a context descriptor}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2808--2811}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169270}, doi = {10.1109/ISCAS.2015.7169270}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CarbonneauRGG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CardesJHWSG15, author = {Fernando Cardes and Ruzica Jevtic and Luis Hern{\'{a}}ndez and Andreas Wiesbauer and Dietmar Str{\"{a}}u{\ss}nigg and Richard Gaggl}, title = {A {MEMS} microphone interface based on a {CMOS} {LC} oscillator and a digital sigma-delta modulator}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2233--2236}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169126}, doi = {10.1109/ISCAS.2015.7169126}, timestamp = {Mon, 30 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CardesJHWSG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CarraraBGRSSBMK15, author = {Sandro Carrara and Camilla Baj{-}Rossi and Sara Seyedeh Ghoreishizadeh and Stefano Riario and Gr{\'{e}}goire Surrel and Francesca Stradolini and Cristina Boero and Giovanni De Micheli and Enver G. Kilinc and Catherine Dehollain}, title = {Full system for translational studies of personalized medicine with free-moving mice}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1774--1777}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168998}, doi = {10.1109/ISCAS.2015.7168998}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CarraraBGRSSBMK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CavalheiroMV15, author = {David Cavalheiro and Francesc Moll and Stanimir Stoyanov Valtchev}, title = {Pespectives of {TFET} devices in ultra-low power charge pumps for thermo-electric energy sources}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1082--1085}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168825}, doi = {10.1109/ISCAS.2015.7168825}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CavalheiroMV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CelinG15, author = {Alberto Celin and Andrea Gerosa}, title = {Optimal {DWA} design in scaled {CMOS} technologies for mismatch cancellation in multibit {\(\Sigma\)}{\(\Delta\)} ADCs}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1454--1457}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168918}, doi = {10.1109/ISCAS.2015.7168918}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CelinG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CetinDG15, author = {Ediz Cetin and Oliver Diessel and Lingkan Gong}, title = {Improving Fmax of {FPGA} circuits employing {DPR} to recover from configuration memory upsets}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1190--1193}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168852}, doi = {10.1109/ISCAS.2015.7168852}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CetinDG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChakrabortyZSH15, author = {Sudipta Chakraborty and Xi Zhu and Oya Sevimli and Michael Heimlich}, title = {A wideband transformer-coupled frequency quadrupler using an asymmetrical balun in 0.25{\(\mu\)}m SiGe for backhaul communication}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2848--2851}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169280}, doi = {10.1109/ISCAS.2015.7169280}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChakrabortyZSH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChatterjeeC15, author = {Navonil Chatterjee and Santanu Chattopadhyay}, title = {Fault tolerant mesh based Network-on-Chip architecture}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {417--420}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168659}, doi = {10.1109/ISCAS.2015.7168659}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChatterjeeC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenC15, author = {Jie Chen and Lap{-}Pui Chau}, title = {Heavy haze removal in a learning framework}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1590--1593}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168952}, doi = {10.1109/ISCAS.2015.7168952}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenC15a, author = {Bu{-}Wei Chen and Le{-}Ren Chang{-}Chien}, title = {Digitally controlled low cross-regulation single-inductor dual-output {(SIDO)} buck converter}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2497--2500}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169192}, doi = {10.1109/ISCAS.2015.7169192}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenC15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenCFHSC15, author = {Chien{-}Ju Chen and Yin{-}Nien Chen and Ming{-}Long Fan and Vita Pi{-}Ho Hu and Pin Su and Ching{-}Te Chuang}, title = {Evaluation of {TFET} and FinFET devices and 32-Bit {CLA} circuits considering work function variation and line-edge roughness}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2325--2328}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169149}, doi = {10.1109/ISCAS.2015.7169149}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChenCFHSC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenCHCTLLLT15, author = {Hsin Chen and Chi{-}Wei Chen and Hsueh{-}Yi Hsieh and Ke{-}Horng Chen and Tsung{-}Yen Tsai and Jian{-}Ru Lin and Ying{-}Hsi Lin and Chao{-}Cheng Lee and Pei{-}Ling Tseng}, title = {Self-adjustable feed-forward control and auto-tracking off-time control techniques for 95{\%} accuracy and 95{\%} efficiency {AC-DC} non-isolated {LED} driver}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1746--1749}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168991}, doi = {10.1109/ISCAS.2015.7168991}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenCHCTLLLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenCSSB15, author = {Haoming Chen and Yu{-}Sheng Chen and Ming{-}Ting Sun and Ankur Saxena and Madhukar Budagavi}, title = {Improvements on Intra Block Copy in natural content video coding}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2772--2775}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169261}, doi = {10.1109/ISCAS.2015.7169261}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenCSSB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenD15, author = {Jiajia Chen and Jiatao Ding}, title = {New algorithm for design of low complexity twiddle factor multipliers in radix-2 {FFT}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {958--961}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168794}, doi = {10.1109/ISCAS.2015.7168794}, timestamp = {Tue, 19 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenG15, author = {Cheng{-}Po Chen and Reza Ghandi}, title = {Designing silicon carbide {NMOS} integrated circuits for wide temperature operation}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {109--112}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168582}, doi = {10.1109/ISCAS.2015.7168582}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenHCT15, author = {Li{-}Chih Chen and Jun{-}Wei Hsieh and Hui{-}Fen Chiang and Tsung{-}Hsien Tsai}, title = {Real-time vehicle color identification using symmetrical SURFs and chromatic strength}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2804--2807}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169269}, doi = {10.1109/ISCAS.2015.7169269}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenHCT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenHL15, author = {Li Chen and Miska M. Hannuksela and Houqiang Li}, title = {Disparity-compensated inter-layer motion prediction using standardized {HEVC} extensions}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2776--2779}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169262}, doi = {10.1109/ISCAS.2015.7169262}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenHLR15, author = {Poki Chen and Yi{-}Jiang Hu and Jian{-}Cheng Liou and Bo{-}Chang Ren}, title = {A 486k S/s {CMOS} time-domain smart temperature sensor with -0.85{\textdegree}C/0.78{\textdegree}C voltage-calibrated error}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2109--2112}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169095}, doi = {10.1109/ISCAS.2015.7169095}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenHLR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenHS15, author = {Peng Chen and Xiongchuan Huang and Robert Bogdan Staszewski}, title = {Fractional spur suppression in all-digital phase-locked loops}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2565--2568}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169209}, doi = {10.1109/ISCAS.2015.7169209}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenHS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenLHL15, author = {Yi{-}Wen Chen and Jian{-}Liang Lin and Yu{-}Wen Huang and Shawmin Lei}, title = {Single depth intra coding mode in 3D-HEVC}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1130--1133}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168837}, doi = {10.1109/ISCAS.2015.7168837}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChenLHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenLT15, author = {Chi{-}Mao Chen and Chih{-}Hsiang Lin and Pei{-}Yun Tsai}, title = {Multi-mode sorted {QR} decomposition for 4{\texttimes}4 and 8{\texttimes}8 single-user/multi-user {MIMO} precoding}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2980--2983}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169313}, doi = {10.1109/ISCAS.2015.7169313}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenSYWLS15, author = {Chun{-}Wei Chen and Ching{-}Heng Su and Der{-}Wei Yang and Jonas Wang and Chia{-}Cheng Lo and Ming{-}Der Shieh}, title = {High-quality texture compression using adaptive color grouping and selection algorithm}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2760--2763}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169258}, doi = {10.1109/ISCAS.2015.7169258}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenSYWLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenWYK15, author = {Ziang Chen and Yat{-}To Wong and Tak{-}Sang Yim and Wing{-}Hung Ki}, title = {A 12A 50V half-bridge gate driver for enhancement-mode GaN HEMTs with digital dead-time correction}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1750--1753}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168992}, doi = {10.1109/ISCAS.2015.7168992}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChenWYK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenYB15, author = {Yi Chen and Enyi Yao and Arindam Basu}, title = {A 128 channel 290 GMACs/W machine learning based co-processor for intention decoding in brain machine interfaces}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {3004--3007}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169319}, doi = {10.1109/ISCAS.2015.7169319}, timestamp = {Mon, 01 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenYB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenYC15, author = {Shih{-}En Chen and Chin{-}Lung Yang and Kuang{-}Wei Cheng}, title = {A 4.5 {\(\mu\)}W 2.4 GHz wake-up receiver based on complementary current-reuse {RF} detector}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1214--1217}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168858}, doi = {10.1109/ISCAS.2015.7168858}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenYC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenZS15, author = {Han Chen and Wei{-}Ping Zhu and M. N. Shanmukha Swamy}, title = {Real-Valued {ESPRIT} for two-dimensional {DOA} estimation of noncircular signals for acoustic vector sensor array}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2153--2156}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169106}, doi = {10.1109/ISCAS.2015.7169106}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenZS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenZSZ15, author = {Qiubo Chen and Hengyu Zhao and Hongbin Sun and Nanning Zheng}, title = {Exploiting bit-depth scaling for quality-scalable energy efficient display processing}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2357--2360}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169157}, doi = {10.1109/ISCAS.2015.7169157}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenZSZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengFLJZ15, author = {Wei Cheng and Yibo Fan and YanHeng Lu and Yize Jin and Xiaoyang Zeng}, title = {A high-throughput {HEVC} deblocking filter {VLSI} architecture for 8k{\texttimes}4k application}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {605--608}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168706}, doi = {10.1109/ISCAS.2015.7168706}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengFLJZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengHGLW15, author = {Ching{-}Hwa Cheng and Sheng{-}Ping Hung and Jiun{-}In Guo and Kai{-}Che Liu and Jungle Chi{-}Hsiang Wu}, title = {A wireless panoramic endoscope system design and implementation for minimally invasive surgery}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1895}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169028}, doi = {10.1109/ISCAS.2015.7169028}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengHGLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengT15, author = {Yu{-}Wei Cheng and Kea{-}Tiong Tang}, title = {A 0.5-V 1.28-MS/s 10-bit {SAR} {ADC} with switching detect logic}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {293--296}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168628}, doi = {10.1109/ISCAS.2015.7168628}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengT15a, author = {Yih{-}Chun Cheng and Pei{-}Yun Tsai}, title = {Low-complexity compressed sensing with variable orthogonal multi-matching pursuit and partially known support for {ECG} signals}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {994--997}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168803}, doi = {10.1109/ISCAS.2015.7168803}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengT15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiangW15, author = {Hsiao{-}Dong Chiang and Tao Wang}, title = {On the number of system separations in power system}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {918--921}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168784}, doi = {10.1109/ISCAS.2015.7168784}, timestamp = {Mon, 18 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiangW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiangW15a, author = {Hsiao{-}Dong Chiang and Tao Wang}, title = {On the continuation-path uniqueness of homotopy enhanced power flow method for general distribution networks with distributed generators}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {922--925}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168785}, doi = {10.1109/ISCAS.2015.7168785}, timestamp = {Mon, 18 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiangW15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChienHWLHC15, author = {Ai Chien and Shuo{-}Hong Hung and Kuan{-}I Wu and Chang{-}Yi Liu and Min{-}Han Hsieh and Charlie Chung{-}Ping Chen}, title = {A 8.1/5.4/2.7/1.62 Gb/s receiver for DisplayPort Version 1.3 with automatic bit-rate tracking scheme}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2393--2396}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169166}, doi = {10.1109/ISCAS.2015.7169166}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChienHWLHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChobeyL15, author = {Dinesh Kumar Chobey and Yong Ching Lim}, title = {A piloted notch time-frequency information based variable step-size algorithm}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2201--2204}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169118}, doi = {10.1109/ISCAS.2015.7169118}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChobeyL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiBPYK15, author = {Hyuncheol Choi and Ram Kaji Budhathoki and Sedong Park and Changju Yang and Hyongsuk Kim}, title = {Linear programming of voltage-controlled memristors with an anti-serial memristor circuit}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1142--1145}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168840}, doi = {10.1109/ISCAS.2015.7168840}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiBPYK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiLHKHC15, author = {Jungryoul Choi and Jungwoo Lee and Sangyun Han and Sungwook Kim and Soonwon Hong and Joongho Choi}, title = {A readout circuit with novel zero-g offset calibration for tri-axes capacitive {MEMS} accelerometer}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1062--1065}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168820}, doi = {10.1109/ISCAS.2015.7168820}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiLHKHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiPKY15, author = {Sungpill Choi and Seongwook Park and Gyeonghoon Kim and Hoi{-}Jun Yoo}, title = {A 124.9fps memory-efficient hand segmentation processor for hand gesture in mobile devices}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {742--745}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168740}, doi = {10.1109/ISCAS.2015.7168740}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiPKY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChouCCH15, author = {Fang{-}Ting Chou and Zong{-}Yi Chen and Hsing{-}Chien Chu and Chung{-}Chih Hung}, title = {A novel 12-bit current-steering {DAC} with two reference currents}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1022--1025}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168810}, doi = {10.1109/ISCAS.2015.7168810}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChouCCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChuLLZLLZCZ15, author = {Hai Chu and Wengao Lu and M. X. Liu and Meng Zhao and X. L. Li and Dahe Liu and L. Y. Zhang and Zhongjian Chen and Yacong Zhang}, title = {A low-noise interface for {MEMS} vibration gyroscope based on a novel power-efficient {C/V} conversion structure}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {674--677}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168723}, doi = {10.1109/ISCAS.2015.7168723}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChuLLZLLZCZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChungCW15, author = {Jung{-}Chen Chung and Wei{-}Ming Chen and Chung{-}Yu Wu}, title = {An 8-channel power-efficient time-constant-enhanced analog front-end amplifier for neural signal acquisition}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1234--1237}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168863}, doi = {10.1109/ISCAS.2015.7168863}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChungCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CojbasicL15, author = {Radisav Cojbasic and Yusuf Leblebici}, title = {Design of high-temperature {SRAM} for reliable operation beyond 250{\textdegree}C}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2545--2548}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169204}, doi = {10.1109/ISCAS.2015.7169204}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CojbasicL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ColemanM15, author = {Nicholas S. Coleman and Karen Nan Miu}, title = {A study of time window selection for electric power distribution system analysis}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1891--1894}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169027}, doi = {10.1109/ISCAS.2015.7169027}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ColemanM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Colombo15, author = {Alessandro Colombo}, title = {Numerically efficient robustness test for nonlinear circuit models}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1702--1705}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168980}, doi = {10.1109/ISCAS.2015.7168980}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Colombo15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CorradiYGI15, author = {Federico Corradi and Hongzhi You and Massimiliano Giulioni and Giacomo Indiveri}, title = {Decision making and perceptual bistability in spike-based neuromorphic {VLSI} systems}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2708--2711}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169245}, doi = {10.1109/ISCAS.2015.7169245}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CorradiYGI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CorreaACA15, author = {Guilherme Corr{\^{e}}a and Pedro A. Amado Assun{\c{c}}{\~{a}}o and Lu{\'{\i}}s Alberto da Silva Cruz and Luciano Volcan Agostini}, title = {Encoding time control system for {HEVC} based on Rate-Distortion-Complexity analysis}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1114--1117}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168833}, doi = {10.1109/ISCAS.2015.7168833}, timestamp = {Wed, 23 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CorreaACA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CorreiaMFBG15, author = {Ana Correia and Rodrigo Martins and Elvira Fortunato and Pedro Barquinha and Jo{\~{a}}o Goes}, title = {Design of a robust general-purpose low-offset comparator based on {IGZO} thin-film transistors}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {261--264}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168620}, doi = {10.1109/ISCAS.2015.7168620}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CorreiaMFBG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CruzRPCB15, author = {Pedro Miguel Cruz and Diogo C. Ribeiro and Andre Prata and Nuno Borges Carvalho and Marc Vanden Bossche}, title = {Live demonstration: Mixed-signal network analysis characterization and modeling platform}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1898}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169031}, doi = {10.1109/ISCAS.2015.7169031}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CruzRPCB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CuiYLQ15, author = {Ayiao Cui and Tingting Yu and Mengyang Li and Gang Qu}, title = {A scan design method based on two complementary connection styles to minimize test power}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {625--628}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168711}, doi = {10.1109/ISCAS.2015.7168711}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CuiYLQ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CuiYQL15, author = {Aijiao Cui and Tingting Yu and Gang Qu and Mengyang Li}, title = {An improved scan design for minimization of test power under routing constraint}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {629--632}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168712}, doi = {10.1109/ISCAS.2015.7168712}, timestamp = {Tue, 14 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CuiYQL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DAmicoMDCB15, author = {Antonio A. D'Amico and Marcello De Matteis and Stefano D'Amico and Lorenzo Crespi and Andrea Baschirotto}, title = {A 4\({}^{\mbox{th}}\)-order low-power diode-C-based filter with 12dBm-IIP3 at the cut-off frequency}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2656--2659}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169232}, doi = {10.1109/ISCAS.2015.7169232}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DAmicoMDCB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DAmicoNMB15, author = {Antonio A. D'Amico and Angelo Nagari and Piero Malcovati and Andrea Baschirotto}, title = {An {FSK} modulator at 23.2 MHz with {\(\pm\)}0.9{\%} accuracy for the {USB} power delivery standard}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2237--2240}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169127}, doi = {10.1109/ISCAS.2015.7169127}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DAmicoNMB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Dabbagh-Sadeghipour15, author = {Khosrov Dabbagh{-}Sadeghipour and Paul D. Townsend and Peter Ossieur}, title = {Design of a sample-and-hold analog front end for a 56Gb/s {PAM-4} receiver using 65nm {CMOS}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1606--1609}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168956}, doi = {10.1109/ISCAS.2015.7168956}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Dabbagh-Sadeghipour15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DaniloJGCCG15, author = {Robin Danilo and Hooman Jarollahi and Vincent Gripon and Philippe Coussy and Laura Conde{-}Canencia and Warren J. Gross}, title = {Algorithm and implementation of an associative memory for oriented edge detection using improved clustered neural networks}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2501--2504}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169193}, doi = {10.1109/ISCAS.2015.7169193}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DaniloJGCCG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DasCA15, author = {Bijit Kumar Das and Mrityunjoy Chakraborty and Jer{\'{o}}nimo Arenas{-}Garc{\'{\i}}a}, title = {Sparse distributed learning via heterogeneous diffusion adaptive networks}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {437--440}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168664}, doi = {10.1109/ISCAS.2015.7168664}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DasCA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DasGK15, author = {Abhik Das and Yuan Gao and Tony Tae{-}Hyoung Kim}, title = {An output feedback-based start-up technique with automatic disabling for battery-less energy harvesters}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {233--236}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168613}, doi = {10.1109/ISCAS.2015.7168613}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DasGK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DasPMACJMCK15, author = {Srinjoy Das and Bruno Umbria Pedroni and Paul Merolla and John V. Arthur and Andrew S. Cassidy and Bryan L. Jackson and Dharmendra S. Modha and Gert Cauwenberghs and Kenneth Kreutz{-}Delgado}, title = {Gibbs sampling with low-power spiking digital neurons}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2704--2707}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169244}, doi = {10.1109/ISCAS.2015.7169244}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DasPMACJMCK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DeDorigoRMMNM15, author = {Daniel DeDorigo and Stefan Rombach and Michael Maurer and Maximilian Marx and Sebastian Nessler and Yiannos Manoli}, title = {Q-enhancement of a low-power gm-C bandpass filter for closed-loop sensor readout applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {678--681}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168724}, doi = {10.1109/ISCAS.2015.7168724}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DeDorigoRMMNM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DekaP15, author = {Anup Jyoti Deka and Venkatesh Prasanna}, title = {A 1Gbps-10 Gbps multi-standard auto-calibrated all digital phase interpolator in 14nm {CMOS}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2209--2212}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169120}, doi = {10.1109/ISCAS.2015.7169120}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DekaP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DelbruckPJOMLT15, author = {Tobi Delbr{\"{u}}ck and Michael Pfeiffer and R. Juston and Garrick Orchard and Elias Mueggler and Alejandro Linares{-}Barranco and M. W. Tilden}, title = {Human vs. computer slot car racing using an event and frame-based {DAVIS} vision sensor}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2409--2412}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169170}, doi = {10.1109/ISCAS.2015.7169170}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DelbruckPJOMLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Delgado-FriasZT15, author = {Jos{\'{e}} G. Delgado{-}Frias and Zhe Zhang and Michael A. Turi}, title = {Near-threshold {CNTFET} {SRAM} cell design with removed metallic {CNT} tolerance}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2928--2931}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169300}, doi = {10.1109/ISCAS.2015.7169300}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Delgado-FriasZT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DemarzianiBMD15, author = {Alberto Demarziani and Edoardo Bonizzoni and Franco Maloberti and Alessandro D'Amato}, title = {Design of a low power time to digital converter for flow metering applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1646--1649}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168966}, doi = {10.1109/ISCAS.2015.7168966}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DemarzianiBMD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DemosthenousG15, author = {Panayiota Demosthenous and Julius Georgiou}, title = {A fluorescence based endoscopic microcancer detection capsule}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1626--1629}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168961}, doi = {10.1109/ISCAS.2015.7168961}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DemosthenousG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DiZWZM15, author = {Shuolin Di and Zhebin Zhang and Shiqi Wang and Nan Zhang and Siwei Ma}, title = {Image guided label map propagation in video sequences}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2820--2823}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169273}, doi = {10.1109/ISCAS.2015.7169273}, timestamp = {Fri, 08 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DiZWZM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DianatAR15, author = {Ali Dianat and Ali Attaran and Rashid Rashidzadeh}, title = {Test method for capacitive {MEMS} devices utilizing pierce oscillator}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {633--636}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168713}, doi = {10.1109/ISCAS.2015.7168713}, timestamp = {Thu, 17 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DianatAR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DiasRS15, author = {Tiago Dias and Nuno Roma and Leonel Sousa}, title = {High performance {IP} core for {HEVC} quantization}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2828--2831}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169275}, doi = {10.1109/ISCAS.2015.7169275}, timestamp = {Fri, 02 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DiasRS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Diaz-ArangoSHVL15, author = {G. Diaz{-}Arango and Arturo Sarmiento{-}Reyes and Luis Hern{\'{a}}ndez{-}Mart{\'{\i}}nez and H{\'{e}}ctor V{\'{a}}zquez{-}Leal and D. D. Lopez{-}Hernandez and Antonio Mar{\'{\i}}n{-}Hern{\'{a}}ndez}, title = {Path optimization for terrestrial robots using Homotopy Path Planning Method}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2824--2827}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169274}, doi = {10.1109/ISCAS.2015.7169274}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Diaz-ArangoSHVL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DiazTMWEO15, author = {Isael Diaz and Siyu Tan and Yun Miao and Leif R. Wilhelmsson and Ove Edfors and Viktor {\"{O}}wall}, title = {A 350{\(\mu\)}W Sign-Bit architecture for multi-parameter estimation during {OFDM} acquisition in 65nm {CMOS}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2984--2987}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169314}, doi = {10.1109/ISCAS.2015.7169314}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DiazTMWEO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DingC15, author = {Weiao Ding and Jiajia Chen}, title = {Design of low complexity programmable {FIR} filters using multiplexers array optimization}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2960--2963}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169308}, doi = {10.1109/ISCAS.2015.7169308}, timestamp = {Tue, 19 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DingC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DingMK15, author = {Chongjun Ding and Yiannos Manoli and Matthias Keller}, title = {Approaches to mitigating the impact of {DAC} mismatch on the performance of continuous-time delta-sigma modulators}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {329--332}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168637}, doi = {10.1109/ISCAS.2015.7168637}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DingMK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DingZ15, author = {Shihong Ding and Wei Xing Zheng}, title = {Some results on design of second-order sliding mode controller for nonlinear systems}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {3072--3075}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169336}, doi = {10.1109/ISCAS.2015.7169336}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DingZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DoYK15, author = {Anh{-}Tuan Do and Kiat Seng Yeo and Tony Tae{-}Hyoung Kim}, title = {A 32kb 9T {SRAM} with PVT-tracking read margin enhancement for ultra-low voltage operation}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2553--2556}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169206}, doi = {10.1109/ISCAS.2015.7169206}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DoYK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DongJ15, author = {Yuqing Dong and W. Kenneth Jenkins}, title = {Theoretical analysis of sequential adaptive processing for fetal electrocardiograms}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1002--1005}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168805}, doi = {10.1109/ISCAS.2015.7168805}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DongJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DongJWZZW15, author = {Jingjing Dong and Hanjun Jiang and Zhaoyang Weng and Jingyi Zheng and Chun Zhang and Zhihua Wang}, title = {A fast {AGC} method for multimode zero-IF/sliding-IF {WPAN/BAN} receivers}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1310--1313}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168882}, doi = {10.1109/ISCAS.2015.7168882}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DongJWZZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DongYFFBS15, author = {Qing Dong and Kaiyuan Yang and Laura Fick and David Fick and David T. Blaauw and Dennis Sylvester}, title = {Racetrack converter: {A} low power and compact data converter using racetrack spintronic devices}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {585--588}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168701}, doi = {10.1109/ISCAS.2015.7168701}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DongYFFBS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DongZ15, author = {Xuan Dong and Lihong Zhang}, title = {Lithography-friendly analog layout migration}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2137--2140}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169102}, doi = {10.1109/ISCAS.2015.7169102}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DongZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DuanCLZC15, author = {Yan Duan and Tao Chen and Zhiqiang Liu and Xu Zhang and Degang Chen}, title = {High-constancy offset generator robust to {CDAC} nonlinearity for SEIR-based {ADC} {BIST}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {3016--3019}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169322}, doi = {10.1109/ISCAS.2015.7169322}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DuanCLZC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DucNJGDJN15, author = {Han Le Duc and Duc Minh Nguyen and Chadi Jabbour and Tarik Graba and Patricia Desgreys and Olivier Jamin and Van Tam Nguyen}, title = {Hardware implementation of all digital calibration for undersampling TIADCs}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2181--2184}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169113}, doi = {10.1109/ISCAS.2015.7169113}, timestamp = {Thu, 26 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DucNJGDJN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DuenasRR15, author = {Saul Rodriguez and Ana Rusu and Jos{\'{e}} M. de la Rosa}, title = {Overview of carbon-based circuits and systems}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2912--2915}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169296}, doi = {10.1109/ISCAS.2015.7169296}, timestamp = {Mon, 07 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DuenasRR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DumesnilNB15, author = {Etienne Dumesnil and Frederic Nabki and Mounir Boukadoum}, title = {{RF-LNA} circuit synthesis using an array of artificial neural networks with constrained inputs}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {573--576}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168698}, doi = {10.1109/ISCAS.2015.7168698}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DumesnilNB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DuttaKCD15, author = {Arpita Dutta and Subhadip Kundu and Santanu Chattopadhyay and Bijit Kumar Das}, title = {A hardware based low temperature solution for {VLSI} testing using decompressor side masking}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {637--640}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168714}, doi = {10.1109/ISCAS.2015.7168714}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DuttaKCD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EdussooriyaBA15, author = {Chamira U. S. Edussooriya and Leonard T. Bruton and Panajotis Agathoklis}, title = {A 5-D {IIR} depth-velocity filter for enhancing objects moving on linear-trajectories in light field videos}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2381--2384}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169163}, doi = {10.1109/ISCAS.2015.7169163}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EdussooriyaBA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EgePBP15, author = {Baris Ege and Kostas Papagiannopoulos and Lejla Batina and Stjepan Picek}, title = {Improving {DPA} resistance of S-boxes: How far can we go?}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2013--2016}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169071}, doi = {10.1109/ISCAS.2015.7169071}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EgePBP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/El-AassarER15, author = {Omar El{-}Aassar and Mohamed El{-}Nozahi and Hani Fikry Ragai}, title = {Loss mechanisms and switching performance analysis for efficient mm-Waves Class-E PAs}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1694--1697}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168978}, doi = {10.1109/ISCAS.2015.7168978}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/El-AassarER15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/El-BayoumiMS15, author = {Abdullah El{-}Bayoumi and Hassan Mostafa and Ahmed M. Soliman}, title = {A new highly-linear highly-sensitive differential voltage-to-time converter circuit in {CMOS} 65nm technology}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1262--1265}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168870}, doi = {10.1109/ISCAS.2015.7168870}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/El-BayoumiMS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/El-GouharyN15, author = {Amany El{-}Gouhary and Nathan M. Neihart}, title = {A quadrature oscillator for {LTE/LTE-A} standards with an improved quadrature-mode stability}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {153--156}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168593}, doi = {10.1109/ISCAS.2015.7168593}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/El-GouharyN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ElthakebHFIEB15, author = {Ahmed T. Elthakeb and Thomas Haine and Denis Flandre and Yehea Ismail and Hamdy Abd Elhamid and David Bol}, title = {Analysis and optimization for dynamic read stability in 28nm {SRAM} bitcells}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1414--1417}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168908}, doi = {10.1109/ISCAS.2015.7168908}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ElthakebHFIEB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Endoh15, author = {Tetsuo Endoh}, title = {Nonvolatile logic and memory devices based on spintronics}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {13--16}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168558}, doi = {10.1109/ISCAS.2015.7168558}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Endoh15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EnzingerFV15, author = {Harald Enzinger and Karl Freiberger and Christian Vogel}, title = {Analysis of even-order terms in memoryless and quasi-memoryless polynomial baseband models}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1714--1717}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168983}, doi = {10.1109/ISCAS.2015.7168983}, timestamp = {Fri, 15 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EnzingerFV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Es-SakhiC15, author = {Azzedin D. Es{-}Sakhi and Masud H. Chowdhury}, title = {Analysis of subthreshold swing in multichannel tunneling carbon nanotube field effect transistor {(MT-CNTFET)}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2309--2312}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169145}, doi = {10.1109/ISCAS.2015.7169145}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Es-SakhiC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EslamiTN15, author = {Monireh Eslami and Mohammad Taherzadeh{-}Sani and Frederic Nabki}, title = {A 1-V 690 {\(\mu\)}W 8-bit 200 MS/s flash-SAR {ADC} with pipelined operation of flash and {SAR} ADCs in 0.13{\(\mu\)}m {CMOS}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {289--292}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168627}, doi = {10.1109/ISCAS.2015.7168627}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EslamiTN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FahimFDM15, author = {Farah Fahim and Vala Fathipouri and Grzegorz Deptuch and Hooman Mohseni}, title = {Pixellated readout {IC:} Analysis for single photon infrared detector for fast time of arrival applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {682--685}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168725}, doi = {10.1109/ISCAS.2015.7168725}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FahimFDM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FahmyLIG15, author = {Hany Ahmed Fahmy and Ping{-}Yao Lin and Riadul Islam and Matthew R. Guthaus}, title = {Switched capacitor quasi-adiabatic clocks}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1398--1401}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168904}, doi = {10.1109/ISCAS.2015.7168904}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FahmyLIG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FaliagkasLC15, author = {Konstantinos Faliagkas and Lieuwe B. Leene and Timothy G. Constandinou}, title = {A novel neural recording system utilising continuous time energy based compression}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {3000--3003}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169318}, doi = {10.1109/ISCAS.2015.7169318}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FaliagkasLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FamosoGFFGT15, author = {Carlo Famoso and Mario Di Guardo and Luigi Fortuna and Mattia Frasca and Salvatore Graziani and Natale Testa}, title = {A system-of-systems based equipment for thermo-mechanical testing of advanced high power modules}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {197--200}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168604}, doi = {10.1109/ISCAS.2015.7168604}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FamosoGFFGT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FangCC15, author = {Chih{-}Chung Fang and I{-}Wen Chen and Tian{-}Sheuan Chang}, title = {A hardware-efficient deblocking filter design for {HEVC}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1786--1789}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169001}, doi = {10.1109/ISCAS.2015.7169001}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FangCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FangFZC15, author = {Biyi Fang and Tao Feng and Mi Zhang and Shantanu Chakrabartty}, title = {Feasibility of B-mode diagnostic ultrasonic energy transfer and telemetry to a cm\({}^{\mbox{2}}\) sized deep-tissue implant}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {782--785}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168750}, doi = {10.1109/ISCAS.2015.7168750}, timestamp = {Mon, 04 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FangFZC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FangS15, author = {Shiwei Fang and Emre Salman}, title = {Low swing {TSV} signaling using novel level shifters with single supply voltage}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1965--1968}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169059}, doi = {10.1109/ISCAS.2015.7169059}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FangS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FarkhaniPMM15, author = {Hooman Farkhani and Ali Peiravi and Jens Kargaard Madsen and Farshad Moradi}, title = {{STT-RAM} write energy consumption reduction by differential write termination method}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2936--2939}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169302}, doi = {10.1109/ISCAS.2015.7169302}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FarkhaniPMM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FerreiraB15, author = {Carlos Ferreira and Beatriz Vieira Borges}, title = {New phase shift modulator for resonant converters}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2105--2108}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169094}, doi = {10.1109/ISCAS.2015.7169094}, timestamp = {Tue, 08 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FerreiraB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FerreiraHQ15, author = {Renan U. Ferreira and Edson M. Hung and Ricardo L. de Queiroz}, title = {Clustering of matched features and gradient matching for mixed-resolution video super-resolution}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1202--1205}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168855}, doi = {10.1109/ISCAS.2015.7168855}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FerreiraHQ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FettweisB15, author = {Alfred Fettweis and Sankar Basu}, title = {Modelling of multidimensional {(MD)} heat diffusion via the Kirchhoff paradigm}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2373--2376}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169161}, doi = {10.1109/ISCAS.2015.7169161}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FettweisB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Filanovsky15, author = {Igor M. Filanovsky}, title = {Enhancing amplifiers/filters bandwidth by transfer function zeroes}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {141--144}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168590}, doi = {10.1109/ISCAS.2015.7168590}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Filanovsky15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Filanovsky15a, author = {Igor M. Filanovsky}, title = {Design of wide-band amplifiers/filters using Lommel polynomials}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2672--2675}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169236}, doi = {10.1109/ISCAS.2015.7169236}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Filanovsky15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FochiWEAM15, author = {Vinicius Fochi and Eduardo W{\"{a}}chter and Augusto Erichsen and Alexandre M. Amory and Fernando Gehm Moraes}, title = {An integrated method for implementing online fault detection in NoC-based MPSoCs}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1562--1565}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168945}, doi = {10.1109/ISCAS.2015.7168945}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FochiWEAM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FokCG15, author = {Kai{-}Yin Fok and Chi{-}Tsun Cheng and Nuwan Ganganath}, title = {Live demonstration: {A} HMM-based real-time sign language recognition system with multiple depth sensors}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1904}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169037}, doi = {10.1109/ISCAS.2015.7169037}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FokCG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FournarisZKSK15, author = {Apostolos P. Fournaris and Ioannis Zafeirakis and Christos Koulamas and Nicolas Sklavos and Odysseas G. Koufopavlou}, title = {Designing efficient elliptic Curve Diffie-Hellman accelerators for embedded systems}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2025--2028}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169074}, doi = {10.1109/ISCAS.2015.7169074}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FournarisZKSK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FragaT15, author = {Luis Gerardo de la Fraga and Esteban Tlelo{-}Cuautle}, title = {Optimizing an amplifier by a many-objective algorithm based on {R2} indicator}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {265--268}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168621}, doi = {10.1109/ISCAS.2015.7168621}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FragaT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FrancaJCPS15, author = {Andr{\'{e}} Luiz Pereira de Fran{\c{c}}a and Ricardo P. Jasinski and Paulo Cemin and Volnei A. Pedroni and Altair Olivo Santin}, title = {The energy cost of network security: {A} hardware vs. software comparison}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {81--84}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168575}, doi = {10.1109/ISCAS.2015.7168575}, timestamp = {Fri, 20 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FrancaJCPS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FregoneseMMMZ15, author = {S{\'{e}}bastien Fregonese and Jorgue Daniel Aguirre Morales and Magali De Matos and Cristell Maneux and Thomas Zimmer}, title = {Graphene {FET} evaluation for {RF} and mmWave circuit applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2920--2923}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169298}, doi = {10.1109/ISCAS.2015.7169298}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FregoneseMMMZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FreibergerWEV15, author = {Karl Freiberger and Martin Wolkerstorfer and Harald Enzinger and Christian Vogel}, title = {Digital predistorter identification based on constrained multi-objective optimization of {WLAN} standard performance metrics}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {862--865}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168770}, doi = {10.1109/ISCAS.2015.7168770}, timestamp = {Fri, 15 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FreibergerWEV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FunkWRW15, author = {Tobias Funk and Juergen Wittmann and Thoralf Rosahl and Bernhard Wicht}, title = {A 20 V, 8 MHz resonant {DCDC} converter with predictive control for 1 ns resolution soft-switching}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1742--1745}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168990}, doi = {10.1109/ISCAS.2015.7168990}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FunkWRW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FurukawaTHK15, author = {Koichi Furukawa and Taro Takiguchi and Ryuga Hosoki and Hirotaka Koizumi}, title = {A high step-up {DC-DC} converter using transformer with intrinsic voltage-doubler}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2097--2100}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169092}, doi = {10.1109/ISCAS.2015.7169092}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FurukawaTHK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Gagnon-Turcotte15, author = {Gabriel Gagnon{-}Turcotte and Charles{-}Olivier Dufresne Camaro and Benoit Gosselin}, title = {Comparison of low-power biopotential processors for on-the-fly spike detection}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {802--805}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168755}, doi = {10.1109/ISCAS.2015.7168755}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Gagnon-Turcotte15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GalaniTCMN15, author = {Christina Galani and Andreas Tsormpatzoglou and Panagiotis Chaourani and Ioannis Messaris and Spiros Nikolaidis}, title = {A study for replacing {CMOS} gates by equivalent inverters}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1838--1841}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169014}, doi = {10.1109/ISCAS.2015.7169014}, timestamp = {Tue, 04 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GalaniTCMN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GaliasG15, author = {Zbigniew Galias and Bartlomiej Garda}, title = {Detection of all low-period windows for the logistic map}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1698--1700}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168979}, doi = {10.1109/ISCAS.2015.7168979}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GaliasG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GanganathCT15, author = {Nuwan Ganganath and Chi{-}Tsun Cheng and Chi K. Tse}, title = {Distributed anti-flocking control for mobile surveillance systems}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1726--1729}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168986}, doi = {10.1109/ISCAS.2015.7168986}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GanganathCT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GanganathCTW15, author = {Nuwan Ganganath and Chi{-}Tsun Cheng and Chi K. Tse and Xiaofan Wang}, title = {Cluster-based informed agents selection for flocking with a virtual leader}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2692--2695}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169241}, doi = {10.1109/ISCAS.2015.7169241}, timestamp = {Fri, 03 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GanganathCTW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GantsogAL15, author = {Enkhbayasgalan Gantsog and Alyssa B. Apsel and Frank Lane}, title = {A quantized pulse coupled oscillator for slow clocking of peer-to-peer networks}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1314--1317}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168883}, doi = {10.1109/ISCAS.2015.7168883}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GantsogAL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GaoDWWG15, author = {Longfei Gao and Shengfu Dong and Wenmin Wang and Ronggang Wang and Wen Gao}, title = {Fast intra mode decision algorithm based on refinement in {HEVC}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {517--520}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168684}, doi = {10.1109/ISCAS.2015.7168684}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GaoDWWG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GaoLZLLSKO15, author = {Yumeng Gao and Zhiping Lin and Tongtong Zhang and Nan Liu and Tianchi Liu and Wee Ser and Zhixiong Koh and Marcus Eng Hock Ong}, title = {Effects of two new features of approximate entropy and sample entropy on cardiac arrest prediction}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {65--68}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168571}, doi = {10.1109/ISCAS.2015.7168571}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GaoLZLLSKO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GaoMZLAG15, author = {Shengkui Gao and Suman Mondal and Nan Zhu and Rongguang Liang and Samuel Achilefu and Viktor Gruev}, title = {A compact {NIR} fluorescence imaging system with goggle display for intraoperative guidance}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1622--1625}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168960}, doi = {10.1109/ISCAS.2015.7168960}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GaoMZLAG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GaoMZLAG15a, author = {Shengkui Gao and Suman Mondal and Nan Zhu and Rongguang Liang and Samuel Achilefu and Viktor Gruev}, title = {Live demonstration: {A} compact {NIR} fluorescence imaging system design with goggle display for intraoperative guidance}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1910}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169043}, doi = {10.1109/ISCAS.2015.7169043}, timestamp = {Wed, 21 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GaoMZLAG15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GaoZGZ15, author = {Zhongpai Gao and Guangtao Zhai and Xiao Gu and Jiantao Zhou}, title = {Adapting hierarchical {ALS} algorithms for temporal psychovisual modulation}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2756--2759}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169257}, doi = {10.1109/ISCAS.2015.7169257}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GaoZGZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GarciaGEYG15, author = {Missael Garcia and Shengkui Gao and Christopher Edmiston and Timothy York and Viktor Gruev}, title = {A 1300 {\texttimes} 800, 700 mW, 30 fps spectral polarization imager}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1106--1109}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168831}, doi = {10.1109/ISCAS.2015.7168831}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GarciaGEYG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GarciaGEYG15a, author = {Missael Garcia and Shengkui Gao and Christopher Edmiston and Timothy York and Viktor Gruev}, title = {Live demonstration: {A} 1300 {\texttimes} 800, 700 mW, 30 fps spectral polarization imager}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1911}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169044}, doi = {10.1109/ISCAS.2015.7169044}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GarciaGEYG15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GeorgeSI15, author = {Swetha S. George and Yu Song and Zeljko Ignjatovic}, title = {A 94-dB {SFDR} multi-bit audio-band delta-sigma converter with {DAC} nonlinearity suppression}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2041--2044}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169078}, doi = {10.1109/ISCAS.2015.7169078}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GeorgeSI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GeorgiouKD15, author = {Panayiotis S. Georgiou and Itir Koymen and Emmanuel M. Drakakis}, title = {Noise properties of ideal memristors}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1146--1149}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168841}, doi = {10.1109/ISCAS.2015.7168841}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GeorgiouKD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GhanadDG15, author = {Mehrdad A. Ghanad and Catherine Dehollain and Michael M. Green}, title = {Noise analysis for time-domain circuits}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {149--152}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168592}, doi = {10.1109/ISCAS.2015.7168592}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GhanadDG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GiasHW15, author = {Ziad Gias and Md. Mehedi Hasan and Khan A. Wahid}, title = {Multi-beamforming with uniform linear array and algebraic integer quantization based {DCT}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2616--2619}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169222}, doi = {10.1109/ISCAS.2015.7169222}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GiasHW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GielenRSHWM15, author = {Georges G. E. Gielen and Jelle Van Rethy and Max M. Shulaker and Gage Hills and H.{-}S. Philip Wong and Subhasish Mitra}, title = {Time-based sensor interface circuits in carbon nanotube technology}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2924--2927}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169299}, doi = {10.1109/ISCAS.2015.7169299}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GielenRSHWM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GimenoGSRAC15, author = {Cecilia Gimeno and Erick Guerrero and Carlos S{\'{a}}nchez{-}Azqueta and Guillermo Royo and Concepci{\'{o}}n Aldea and Santiago Celma}, title = {1-V continuous-time linear equalizer for up to 2 Gb/s over 50-m {SI-POF}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1818--1821}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169009}, doi = {10.1109/ISCAS.2015.7169009}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GimenoGSRAC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GiounanlisBFMKP15, author = {Panagiotis Giounanlis and Elena Blokhina and Orla Feely and Loukas Michalas and Matroni Koutsoureli and George J. Papaioannou}, title = {Modelling of the dynamical behaviour of floating electrode {MEMS}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1322--1325}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168885}, doi = {10.1109/ISCAS.2015.7168885}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GiounanlisBFMKP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GomesPSCPVHL15, author = {Francisco O. O. Gomes and Luciano de Paula and Joao C. S. Santos and Laurent Courcelle and Daniel Piovani and Filipe Viera and Felipe M. Henes and Marcelo Lubaszewski}, title = {A low-power {RFID} enabled temperature sensor for cold chain management}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2113--2116}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169096}, doi = {10.1109/ISCAS.2015.7169096}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GomesPSCPVHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GopalakrishnanB15, author = {Roshan Gopalakrishnan and Arindam Basu}, title = {Triplet spike time dependent plasticity in a floating-gate synapse}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {710--713}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168732}, doi = {10.1109/ISCAS.2015.7168732}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GopalakrishnanB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GravesNBBEFMPRR15, author = {Ricardo Chaves and Giorgio Di Natale and Lejla Batina and Shivam Bhasin and Baris Ege and Apostolos P. Fournaris and Nele Mentens and Stjepan Picek and Francesco Regazzoni and Vladimir Rozic and Nicolas Sklavos and Bohan Yang}, title = {Challenges in designing trustworthy cryptographic co-processors}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2009--2012}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169070}, doi = {10.1109/ISCAS.2015.7169070}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GravesNBBEFMPRR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuL15, author = {Jie Gu and Jieda Li}, title = {Exploration of self-healing circuits for timing resilient design using emerging memristor devices}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1458--1461}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168919}, doi = {10.1109/ISCAS.2015.7168919}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuO15, author = {Chongyan Gu and M{\'{a}}ire O'Neill}, title = {Ultra-compact and robust FPGA-based {PUF} identification generator}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {934--937}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168788}, doi = {10.1109/ISCAS.2015.7168788}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuS15, author = {Yanjie Gu and Guoyong Shi}, title = {An interactive program for automatic network function generation with insights}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1810--1813}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169007}, doi = {10.1109/ISCAS.2015.7169007}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuWZML15, author = {Ke Gu and Shiqi Wang and Guangtao Zhai and Siwei Ma and Weisi Lin}, title = {Screen image quality assessment incorporating structural degradation measurement}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {125--128}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168586}, doi = {10.1109/ISCAS.2015.7168586}, timestamp = {Thu, 07 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuWZML15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuZLZ15, author = {Zhouye Gu and Jianhua Zheng and Nam Ling and Philipp Zhang}, title = {Fast segment-wise {DC} coding for 3D video compression}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2780--2783}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169263}, doi = {10.1109/ISCAS.2015.7169263}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuZLZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuZWLZL15, author = {Ke Gu and Guangtao Zhai and Shiqi Wang and Min Liu and Jiantao Zhou and Weisi Lin}, title = {A general histogram modification framework for efficient contrast enhancement}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2816--2819}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169272}, doi = {10.1109/ISCAS.2015.7169272}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuZWLZL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Guerra-GomezTF15, author = {Ivick Guerra{-}G{\'{o}}mez and Esteban Tlelo{-}Cuautle and Luis Gerardo de la Fraga}, title = {{OCBA} in the yield optimization of analog integrated circuits by evolutionary algorithms}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1933--1936}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169051}, doi = {10.1109/ISCAS.2015.7169051}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Guerra-GomezTF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuilhermePHG15, author = {David Guilherme and Jo{\~{a}}o Pereira and Nuno Horta and Jorge Guilherme}, title = {Thermal-aware floorplanning and layout generation of {MOSFET} power stages}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2269--2272}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169135}, doi = {10.1109/ISCAS.2015.7169135}, timestamp = {Mon, 21 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GuilhermePHG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuimaraesPR15, author = {Daniel S. Guimar{\~{a}}es Jr. and Julia Casarin Puget and Ricardo Augusto da Luz Reis}, title = {A mixed cells physical design approach}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1446--1449}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168916}, doi = {10.1109/ISCAS.2015.7168916}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuimaraesPR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuoLSX15, author = {Yaoyao Guo and Bin Li and Songlin Sun and Jizheng Xu}, title = {Rate control for screen content coding in {HEVC}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1118--1121}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168834}, doi = {10.1109/ISCAS.2015.7168834}, timestamp = {Mon, 19 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GuoLSX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuoSYZCZL15, author = {Ying Guo and Ling Shen and Fan Yang and Yongan Zheng and Long Chen and Xing Zhang and Huailin Liao}, title = {A 0.5-2 GHz high frequency selectivity {RF} front-end with series N-path filter}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2217--2220}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169122}, doi = {10.1109/ISCAS.2015.7169122}, timestamp = {Wed, 27 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GuoSYZCZL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuoWTXG15, author = {Shaoge Guo and Yaowei Wang and Yonghong Tian and Peiyin Xing and Wen Gao}, title = {Quality-progressive coding for high bit-rate background frames on surveillance videos}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2764--2767}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169259}, doi = {10.1109/ISCAS.2015.7169259}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GuoWTXG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuptaMTAVA15, author = {Navneet Gupta and Adam Makosiej and Oliver Thomas and Amara Amara and Andrei Vladimirescu and Costin Anghel}, title = {Ultra-low leakage sub-32nm {TFET/CMOS} hybrid 32kb pseudo DualPort scratchpad with GHz speed for embedded applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {597--600}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168704}, doi = {10.1109/ISCAS.2015.7168704}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuptaMTAVA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GustafssonJ15, author = {Oscar Gustafsson and H{\aa}kan Johansson}, title = {Decimation filters for high-speed delta-sigma modulators with passband constraints: General versus CIC-based {FIR} filters}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2205--2208}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169119}, doi = {10.1109/ISCAS.2015.7169119}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GustafssonJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GutierrezH15, author = {Eric Gutierrez and Luis Hern{\'{a}}ndez}, title = {Spectral analysis of multibit VCO-ADCs and PFM-ADCs with sinusoidal inputs}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1258--1261}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168869}, doi = {10.1109/ISCAS.2015.7168869}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GutierrezH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HaMEV15, author = {Qianqian Ha and Yannick Maret and Juan Sebastian Rodriguez Estupinan and Alain Vachoux}, title = {{VHDL-AMS} virtual prototyping of a generator circuit breaker ablation monitoring system}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1854--1857}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169018}, doi = {10.1109/ISCAS.2015.7169018}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HaMEV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HaddadRS15, author = {Fayrouz Haddad and Wenceslas Rahajandraibe and Abdelhalim Slimane}, title = {Design of an optimal layout {RF} passive polyphase filter for large image rejection}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {269--272}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168622}, doi = {10.1109/ISCAS.2015.7168622}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HaddadRS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HalakHM15, author = {Basel Halak and Yizhong Hu and Mohd Syafiq Mispan}, title = {Area efficient configurable physical unclonable functions for FPGAs identification}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {946--949}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168791}, doi = {10.1109/ISCAS.2015.7168791}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HalakHM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HalawaniMAS15, author = {Yasmin Halawani and Baker Mohammad and Mahmoud Al{-}Qutayri and Hani H. Saleh}, title = {Memory impact on the lifetime of a Wireless Sensor Node using a Semi-Markov model}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1470--1473}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168922}, doi = {10.1109/ISCAS.2015.7168922}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HalawaniMAS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HameedRDP15, author = {Sameed Hameed and Mansour Rachid and Babak Daneshrad and Sudhakar Pamarti}, title = {Frequency-domain analysis of a mixer-first receiver using conversion matrices}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {541--544}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168690}, doi = {10.1109/ISCAS.2015.7168690}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HameedRDP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HanG15, author = {Jerry Han and Michael M. Green}, title = {A 2 {\texttimes} 50-Gb/s receiver with adaptive channel loss equalization and far-end crosstalk cancellation}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2397--2400}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169167}, doi = {10.1109/ISCAS.2015.7169167}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HanG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HanKM15, author = {Changsok Han and Taewook Kim and Nima Maghari}, title = {Sturdy-MASH delta-sigma modulator with noise-shaped integrating quantizer and dual-DAC {DWA}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {321--324}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168635}, doi = {10.1109/ISCAS.2015.7168635}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HanKM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HanM15, author = {Changsok Han and Nima Maghari}, title = {Continuous time delta-sigma modulator with an embedded passive low pass filter}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {317--320}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168634}, doi = {10.1109/ISCAS.2015.7168634}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HanM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HarikumarW15, author = {Prakash Harikumar and J. Jacob Wikner}, title = {Design of a reference voltage buffer for a 10-bit 50 MS/s {SAR} {ADC} in 65 nm {CMOS}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {249--252}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168617}, doi = {10.1109/ISCAS.2015.7168617}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HarikumarW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HarteOBFG15, author = {Peter Harte and Eoghan O'Riordan and Elena Blokhina and Orla Feely and Dimitri Galayko}, title = {Universal nonlinear phenomena in a class of electronic oscillators}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1706--1709}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168981}, doi = {10.1109/ISCAS.2015.7168981}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HarteOBFG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HaselmayrMSS15, author = {Werner Haselmayr and Georg M{\"{o}}stl and Stefan Seeber and Andreas Springer}, title = {Hardware implementation of the {SUMIS} detector using high-level synthesis}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2972--2975}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169311}, doi = {10.1109/ISCAS.2015.7169311}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HaselmayrMSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HatiB15, author = {Manas Kumar Hati and Tarun Kanti Bhattacharyya}, title = {Efficient design technique for pulse swallow based fractional-N frequency divider}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {457--460}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168669}, doi = {10.1109/ISCAS.2015.7168669}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HatiB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeZMLZLL15, author = {Yajuan He and Ziji Zhang and Bin Ma and Jinpeng Li and Shaowei Zhen and Ping Luo and Qiang Li}, title = {A fast and energy efficient binary-to-pseudo {CSD} converter}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {838--841}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168764}, doi = {10.1109/ISCAS.2015.7168764}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HeZMLZLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeZMTC15, author = {Tao He and Yi Zhang and Xin Meng and Gabor C. Temes and Chia{-}Hung Chen}, title = {A 16-bit 1KHz bandwidth micro-power multi-step incremental {ADC} for multi-channel sensor interface}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1018--1021}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168809}, doi = {10.1109/ISCAS.2015.7168809}, timestamp = {Wed, 10 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HeZMTC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HelalAIM15, author = {Khaled A. Helal and Sameh Attia and Tawfik Ismail and Hassan Mostafa}, title = {Comparative review of NoCs in the context of ASICs and FPGAs}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1866--1869}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169021}, doi = {10.1109/ISCAS.2015.7169021}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HelalAIM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HenkelKS15, author = {J{\"{o}}rg Henkel and Muhammad Usman Karim Khan and Muhammad Shafique}, title = {Energy-efficient multimedia systems for high efficiency video coding}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {613--616}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168708}, doi = {10.1109/ISCAS.2015.7168708}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HenkelKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HerencsarJKVMG15, author = {Norbert Herencsar and Jan Jerabek and Jaroslav Koton and Kamil Vrba and Shahram Minaei and Izzet Cem G{\"{o}}knar}, title = {Pole frequency and pass-band gain tunable novel fully-differential current-mode all-pass filter}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2668--2671}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169235}, doi = {10.1109/ISCAS.2015.7169235}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HerencsarJKVMG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HerglotzWK15, author = {Christian Herglotz and Elisabeth Walencik and Andr{\'{e}} Kaup}, title = {Estimating the {HEVC} decoding energy using the decoder processing time}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {513--516}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168683}, doi = {10.1109/ISCAS.2015.7168683}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HerglotzWK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HernandezNM15, author = {Hugo Daniel Hern{\'{a}}ndez and Wilhelmus A. M. Van Noije and Marcelo Munhoz}, title = {Configurable low noise readout front-end for gaseous detectors in 130nm {CMOS} technology}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1058--1061}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168819}, doi = {10.1109/ISCAS.2015.7168819}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HernandezNM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Hikawa15, author = {Hiroomi Hikawa}, title = {Winner-take-all neural network with digital frequency-locked loop}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2517--2520}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169197}, doi = {10.1109/ISCAS.2015.7169197}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Hikawa15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HinamotoDL15, author = {Takao Hinamoto and Akimitsu Doi and Wu{-}Sheng Lu}, title = {Weighted pole and zero sensitivity minimization for state-space digital filters}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2193--2196}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169116}, doi = {10.1109/ISCAS.2015.7169116}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HinamotoDL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HinamotoDL15a, author = {Takao Hinamoto and Akimitsu Doi and Wu{-}Sheng Lu}, title = {Optimal error feedback and realization for roundoff noise minimization in linear discrete-time systems with full-order state observer feedback}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2956--2959}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169307}, doi = {10.1109/ISCAS.2015.7169307}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HinamotoDL15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HirataNNSMTY15, author = {Takuya Hirata and Ryuta Nishino and Shigetoshi Nakatake and Masaya Shimoyama and Masashi Miyagawa and Koichi Tanno and Akihiro Yamada}, title = {Subblock-level matching layout for analog block-pair and its manufacturability evaluation}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {3012--3015}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169321}, doi = {10.1109/ISCAS.2015.7169321}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HirataNNSMTY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HoCLCL15, author = {Kin{-}Chu Ho and Chih{-}Lung Chen and Yen{-}Chin Liao and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {A 3.46 Gb/s (9141, 8224) LDPC-based {ECC} scheme and on-line channel estimation for solid-state drive applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1450--1453}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168917}, doi = {10.1109/ISCAS.2015.7168917}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HoCLCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HoCLGC15, author = {Weng{-}Geng Ho and Kwen{-}Siong Chong and Ne Kyaw Zwa Lwin and Bah{-}Hwee Gwee and Joseph S. Chang}, title = {High robustness energy- and area-efficient dynamic-voltage-scaling 4-phase 4-rail asynchronous-logic Network-on-Chip (ANoC)}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1913--1916}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169046}, doi = {10.1109/ISCAS.2015.7169046}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HoCLGC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HodgersHO15, author = {Philip Hodgers and Neil Hanley and M{\'{a}}ire O'Neill}, title = {Pre-processing power traces to defeat random clocking countermeasures}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {85--88}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168576}, doi = {10.1109/ISCAS.2015.7168576}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HodgersHO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HongCZJWL15, author = {Chi{-}Hao Hong and Yi{-}Wei Chiu and Jun{-}Kai Zhao and Shyh{-}Jye Jou and Wen{-}Tai Wang and Reed Lee}, title = {A 28nm 36kb high speed 6T {SRAM} with source follower {PMOS} read and bit-line under-drive}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2549--2552}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169205}, doi = {10.1109/ISCAS.2015.7169205}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HongCZJWL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HoppnerHSS15, author = {Sebastian H{\"{o}}ppner and Stefan H{\"{a}}nzsche and Stefan Scholze and Ren{\'{e}} Sch{\"{u}}ffny}, title = {An all-digital {PWM} generator with 62.5ps resolution in 28nm {CMOS} technology}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1738--1741}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168989}, doi = {10.1109/ISCAS.2015.7168989}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HoppnerHSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HossainKC15, author = {Nahid M. Hossain and Jitendra Koppu and Masud H. Chowdhury}, title = {Analysis of radiation effect on the threshold voltage of flash memory device}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2896--2899}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169292}, doi = {10.1109/ISCAS.2015.7169292}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HossainKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HosseiniSL15, author = {S. Rasool Hosseini and Mehdi Saberi and Reza Lotfi}, title = {An energy-efficient level shifter for low-power applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2241--2244}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169128}, doi = {10.1109/ISCAS.2015.7169128}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HosseiniSL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HouC0M15, author = {Junhui Hou and Lap{-}Pui Chau and Ying He and Nadia Magnenat{-}Thalmann}, title = {Reordering-based transform for compressing human motion capture data}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2740--2743}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169253}, doi = {10.1109/ISCAS.2015.7169253}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HouC0M15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HouWMC15, author = {Junhui Hou and Shuai Wan and Zhan Ma and Lap{-}Pui Chau}, title = {A linear dependent rate-quantization model for scalable video enhancement layer encoding}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2768--2771}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169260}, doi = {10.1109/ISCAS.2015.7169260}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HouWMC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsiehHH15, author = {Sung{-}En Hsieh and Cheng{-}Kang Ho and Chih{-}Cheng Hsieh}, title = {A 1.2V 1MS/s 7.65fJ/conversion-step 12-bit hybrid {SAR} {ADC} with time-to-digital converter}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2429--2432}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169175}, doi = {10.1109/ISCAS.2015.7169175}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsiehHH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsiungSW15, author = {Po{-}Hsiang Hsiung and Chung{-}An Shen and Huan{-}Chun Wang}, title = {The joint detect and decoding approach for {MIMO} systems with turbo codes}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2005--2008}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169069}, doi = {10.1109/ISCAS.2015.7169069}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsiungSW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuFSC15, author = {Vita Pi{-}Ho Hu and Ming{-}Long Fan and Pin Su and Ching{-}Te Chuang}, title = {Impacts of {NBTI} and {PBTI} on ultra-thin-body GeOI 6T {SRAM} cells}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {601--604}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168705}, doi = {10.1109/ISCAS.2015.7168705}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HuFSC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuHRO15, author = {Jingjing Hu and Hans Hegt and Arthur H. M. van Roermund and Sotir Ouzounov}, title = {Bitstream switching rate based calibration of delta-sigma modulators}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2293--2296}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169141}, doi = {10.1109/ISCAS.2015.7169141}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuHRO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuI15, author = {Yafei Hu and Adrian Ioinovici}, title = {Simple switched-capacitor-boost converter with large {DC} gain and low voltage stress on switches}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2101--2104}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169093}, doi = {10.1109/ISCAS.2015.7169093}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuJ15, author = {Yusong Hu and Ching{-}Chuen Jong}, title = {Memory-efficient discrete wavelet transform architecture based on wordlength optimization}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1778--1781}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168999}, doi = {10.1109/ISCAS.2015.7168999}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuL15, author = {Yizhe Hu and Wei Li}, title = {A modeling approach for mixed-mode {FMCW} synthesizer allowing frequency error analysis}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1490--1493}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168927}, doi = {10.1109/ISCAS.2015.7168927}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HuL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuLXM15, author = {Yue Hu and Spencer Leuenberger and Yang Xu and Un{-}Ku Moon}, title = {Time-interleaved integrating quantizer incorporating channel coupling for speed and linearity enhancement}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2249--2252}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169130}, doi = {10.1109/ISCAS.2015.7169130}, timestamp = {Tue, 12 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HuLXM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuNA15, author = {Linjia Hu and Saeid Nooshabadi and Majid Ahmadi}, title = {Massively parallel KD-tree construction and nearest neighbor search algorithms}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2752--2755}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169256}, doi = {10.1109/ISCAS.2015.7169256}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuNA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuSTL15, author = {Hanbin Hu and Guoyong Shi and Andy Tai and Frank Lee}, title = {Topological symbolic simplification for analog design}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2644--2647}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169229}, doi = {10.1109/ISCAS.2015.7169229}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuSTL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Hua-ShengN15, author = {Hua{-}Sheng and Konstantin Nikolic}, title = {Machine vision using combined frame-based and event-based vision sensor}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {706--709}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168731}, doi = {10.1109/ISCAS.2015.7168731}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Hua-ShengN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangS15, author = {Jun{-}Jie Huang and Wan{-}Chi Siu}, title = {Practical application of random forests for super-resolution imaging}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2161--2164}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169108}, doi = {10.1109/ISCAS.2015.7169108}, timestamp = {Wed, 20 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HuangS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangYWZY15, author = {Guocheng Huang and Tao Yin and Qisong Wu and Yuanming Zhu and Haigang Yang}, title = {A 1.3{\(\mu\)}W 0.7{\(\mu\)}VRMS chopper current-reuse instrumentation amplifier for {EEG} applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2624--2627}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169224}, doi = {10.1109/ISCAS.2015.7169224}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HuangYWZY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HungH15, author = {Lien{-}En Hung and Hsu{-}Feng Hsiao}, title = {QoS-driven optimization for video streaming using layer-aligned multipriority rateless codes}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1666--1669}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168971}, doi = {10.1109/ISCAS.2015.7168971}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HungH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HungKWHHC15, author = {Shuo{-}Hong Hung and Wei{-}Hao Kao and Kuan{-}I Wu and Yi{-}Wei Huang and Min{-}Han Hsieh and Charlie Chung{-}Ping Chen}, title = {A 160MHz-to-2GHz low jitter fast lock all-digital {DLL} with phase tracking technique}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {553--556}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168693}, doi = {10.1109/ISCAS.2015.7168693}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HungKWHHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IannazzoMDRLA15, author = {Mario Iannazzo and Valerio Lo Muzzo and Saul Rodriguez and Ana Rusu and Max C. Lemme and Eduard Alarc{\'{o}}n}, title = {Design exploration of graphene-FET based ring-oscillator circuits: {A} test-bench for large-signal compact models}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2716--2719}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169247}, doi = {10.1109/ISCAS.2015.7169247}, timestamp = {Wed, 05 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/IannazzoMDRLA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IdresER15, author = {Samer B. Idres and Mohamed El{-}Nozahi and Hani Fikry Ragai}, title = {A noise cancelling envelope detector for low power wireless sensor applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1302--1305}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168880}, doi = {10.1109/ISCAS.2015.7168880}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IdresER15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ihara0K15, author = {Takeshi Ihara and Atsushi Takahashi and Chikaaki Kodama}, title = {Effective two-dimensional pattern generation for self-aligned double patterning}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2141--2144}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169103}, doi = {10.1109/ISCAS.2015.7169103}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Ihara0K15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IkutaUN15, author = {Chihiro Ikuta and Yoko Uwate and Yoshifumi Nishio}, title = {Multi-layer perceptron with pulse glial chain having oscillatory excitation threshold}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1330--1333}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168887}, doi = {10.1109/ISCAS.2015.7168887}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IkutaUN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Illade-Quinteiro15, author = {J. Illade{-}Quinteiro and V{\'{\i}}ctor M. Brea and Paula L{\'{o}}pez and Diego Cabello}, title = {Dark current optimization of 4-transistor pixel topologies in standard {CMOS} technologies for time-of-flight sensors}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {353--356}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168643}, doi = {10.1109/ISCAS.2015.7168643}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Illade-Quinteiro15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IshigakiTSK15, author = {Yutaro Ishigaki and Yoichi Tomioka and Tsugumichi Shibata and Hitoshi Kitazawa}, title = {An {FPGA} implementation of 3D numerical simulations on a 2D {SIMD} array processor}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {938--941}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168789}, doi = {10.1109/ISCAS.2015.7168789}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IshigakiTSK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IshikawaLYIFHII15, author = {Yosuke Ishikawa and Sang{-}yeop Lee and Shin Yonezawa and Sho Ikeda and Yiming Fang and Taisuke Hamada and Hiroyuki Ito and Noboru Ishihara and Kazuya Masu}, title = {A 0.5-V 1.56-mW 5.5-GHz {RF} transceiver {IC} module with J-shaped folded monopole antenna}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1218--1221}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168859}, doi = {10.1109/ISCAS.2015.7168859}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IshikawaLYIFHII15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ItohSA15, author = {Kiyoo Itoh and Khaja Ahmad Shaik and Amara Amara}, title = {0.5-V sub-ns open-BL {SRAM} array with mid-point-sensing multi-power 5T cell}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2892--2895}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169291}, doi = {10.1109/ISCAS.2015.7169291}, timestamp = {Thu, 07 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ItohSA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JahanYLH15, author = {M. Shahriar Jahan and Tan Yang and Junjie Lu and Jeremy Holleman}, title = {A 167 {\(\mu\)}W 915 MHz gain-boosted {LC} {VCO}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {882--885}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168775}, doi = {10.1109/ISCAS.2015.7168775}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JahanYLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JangLCY15, author = {Jaeeun Jang and Yongsu Lee and Hyunwoo Cho and Hoi{-}Jun Yoo}, title = {A 0.54-mW duty controlled {RSSI} with current reusing technique for human body communication}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1230--1233}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168862}, doi = {10.1109/ISCAS.2015.7168862}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JangLCY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JangLSCBJPHKY15, author = {Byung{-}Jun Jang and Chan{-}Ho Lee and Sung{-}Hun Sim and Kyu{-}Won Choi and Do{-}Hun Byun and Yeon{-}Ho Jung and Ki{-}Man Park and Dong{-}Yeon Heo and Gyu{-}Hong Kim and Joon{-}Sung Yang}, title = {Robust via-programmable {ROM} design based on 45nm process considering process variation and enhancement Vmin and yield}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2541--2544}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169203}, doi = {10.1109/ISCAS.2015.7169203}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JangLSCBJPHKY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JarvenhaaraTF15, author = {Jani K. Jarvenhaara and Nikolay T. Tchamov and Igor M. Filanovsky}, title = {Determining potentially unstable operating points using time-varying root-locus}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2640--2643}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169228}, doi = {10.1109/ISCAS.2015.7169228}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/JarvenhaaraTF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JeongK15, author = {Hui{-}Sung Jeong and Tae{-}Hwan Kim}, title = {An efficient processor for joint barrel distortion correction and color demosaicking}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1782--1785}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169000}, doi = {10.1109/ISCAS.2015.7169000}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JeongK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JespersM15, author = {Paul G. A. Jespers and Boris Murmann}, title = {Calculation of {MOSFET} distortion using the transconductance-to-current ratio (gm/ID)}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {529--532}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168687}, doi = {10.1109/ISCAS.2015.7168687}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/JespersM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiaTAZ15, author = {Luheng Jia and Chi{-}Ying Tsui and Oscar C. Au and Amin Zheng}, title = {A fast variable block size motion estimation algorithm with refined search range for a two-layer data reuse scheme}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1206--1209}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168856}, doi = {10.1109/ISCAS.2015.7168856}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiaTAZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiaYWZ15, author = {Song Jia and Shilin Yan and Yuan Wang and Ganggang Zhang}, title = {A low-power high-speed 32/33 prescaler based on novel divide-by-4/5 unit with improved true single-phase clock logic}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {890--893}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168777}, doi = {10.1109/ISCAS.2015.7168777}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/JiaYWZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiangKLXTZ15, author = {Aimin Jiang and Hon Keung Kwan and Xiaofeng Liu and Ning Xu and Yibin Tang and Yanping Zhu}, title = {{IIR} filter design with novel stability condition}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2968--2971}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169310}, doi = {10.1109/ISCAS.2015.7169310}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiangKLXTZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiangSCL15, author = {Jize Jiang and Wei Shu and Joseph Sylvester Chang and Jingyuan Liu}, title = {A novel subthreshold voltage reference featuring 17ppm/{\textdegree}C {TC} within -40{\textdegree}C to 125{\textdegree}C and 75dB {PSRR}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {501--504}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168680}, doi = {10.1109/ISCAS.2015.7168680}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiangSCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiangX15, author = {Bo Jiang and Tian Xia}, title = {A quad-mode {DCO} for multi-standard communication application}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2229--2232}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169125}, doi = {10.1109/ISCAS.2015.7169125}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiangX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiaoD15, author = {Fanshu Jiao and Alex Doboli}, title = {A low-voltage, low-power amplifier created by reasoning-based, systematic topology synthesis}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2648--2651}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169230}, doi = {10.1109/ISCAS.2015.7169230}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiaoD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KachueeKMS15, author = {Mohamad Kachuee and Mohammad Mahdi Kiani and Hoda Mohammadzade and Mahdi Shabany}, title = {Cuff-less high-accuracy calibration-free blood pressure estimation using pulse transit time}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1006--1009}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168806}, doi = {10.1109/ISCAS.2015.7168806}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KachueeKMS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KangKKS15, author = {Mingu Kang and Eric P. Kim and Min{-}Sun Keel and Naresh R. Shanbhag}, title = {Energy-efficient and high throughput sparse distributed memory architecture}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2505--2508}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169194}, doi = {10.1109/ISCAS.2015.7169194}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KangKKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KappertKDPK15, author = {Holger Kappert and Norbert Kordas and Stefan Dreiner and Uwe Paschen and Rainer Kokozinski}, title = {High temperature {SOI} {CMOS} technology and circuit realization for applications up to 300{\textdegree}C}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1162--1165}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168845}, doi = {10.1109/ISCAS.2015.7168845}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KappertKDPK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KarakayaYY15, author = {Baris Karakaya and Ramazan Yeniceri and M{\"{u}}stak E. Yal{\c{c}}in}, title = {Wave computer core using fixed-point arithmetic}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1514--1517}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168933}, doi = {10.1109/ISCAS.2015.7168933}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KarakayaYY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KarnE15, author = {Rupesh Raj Karn and Ibrahim Abe M. Elfadel}, title = {Multicore power proxies using least-angle regression}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2872--2875}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169286}, doi = {10.1109/ISCAS.2015.7169286}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KarnE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KatoK15, author = {Kota Kato and Hirotaka Koizumi}, title = {A study on effect of blocking and bypass diodes on partial shaded {PV} string with compensating circuit using voltage equalizer}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {241--244}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168615}, doi = {10.1109/ISCAS.2015.7168615}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KatoK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KennedyMLHSN15, author = {Michael Peter Kennedy and Hongjia Mo and Zhida Li and Guosheng Hu and Paolo Scognamiglio and Ettore Napoli}, title = {The noise and spur delusion in fractional-N frequency synthesizer design}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2577--2580}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169212}, doi = {10.1109/ISCAS.2015.7169212}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KennedyMLHSN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KhairallahG15, author = {Mustafa Khairallah and Maged Ghoneima}, title = {New polynomial basis versatile multiplier over GF(2\({}^{\mbox{m}}\)) for low-power on-chip crypto-systems}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1438--1441}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168914}, doi = {10.1109/ISCAS.2015.7168914}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KhairallahG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KhaterP15, author = {Mohammad Abu Khater and Dimitrios Peroulis}, title = {Variable-output charge-pump for piezoelectric and electrostatic tunable {RF} filters}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1362--1365}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168895}, doi = {10.1109/ISCAS.2015.7168895}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KhaterP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KhattabB15, author = {Ahmed K. F. Khattab and Magdy A. Bayoumi}, title = {An overview of {IEEE} standardization efforts for cognitive radio networks}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {982--985}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168800}, doi = {10.1109/ISCAS.2015.7168800}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KhattabB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KianpourHTM15, author = {Iman Kianpour and Bilal Hussain and V{\'{\i}}tor Grade Tavares and H{\'{e}}lio Sousa Mendon{\c{c}}a}, title = {A low-power multi-tanh {OTA} with very low harmonic distortion}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {645--649}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168716}, doi = {10.1109/ISCAS.2015.7168716}, timestamp = {Fri, 05 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KianpourHTM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KilicS15, author = {Mustafa Kilic and Alexandre Schmid}, title = {An implantable high-voltage cortical stimulator for post-stroke rehabilitation enhancement with high-current driving capacity}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {758--761}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168744}, doi = {10.1109/ISCAS.2015.7168744}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KilicS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimBHY15, author = {Minseo Kim and Joonsung Bae and Unsoo Ha and Hoi{-}Jun Yoo}, title = {A 24-mW 28-Gb/s wireline receiver with low-frequency equalizing {CTLE} and 2-tap speculative {DFE}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1610--1613}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168957}, doi = {10.1109/ISCAS.2015.7168957}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimBHY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimJPKKJ15, author = {Sungwoo Kim and Sungchun Jang and Jun{-}Eun Park and Yoonsoo Kim and Gyungock Kim and Deog{-}Kyoon Jeong}, title = {A compact 22-Gb/s transmitter for optical links with all-digital phase-locked loop}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2856--2859}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169282}, doi = {10.1109/ISCAS.2015.7169282}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimJPKKJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimLM15, author = {Taewook Kim and Jun Liu and Nima Maghari}, title = {High-speed and high-linearity ring oscillator based pulse width modulator}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2253--2256}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169131}, doi = {10.1109/ISCAS.2015.7169131}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimLM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimSC15, author = {Tae{-}Sun Kim and Myung Hoon Sunwoo and Jin{-}Gyun Chung}, title = {Hierarchical fast mode decision algorithm for intra prediction in {HEVC}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2792--2795}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169266}, doi = {10.1109/ISCAS.2015.7169266}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimSC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KissNSCHP15, author = {Andr{\'{a}}s Kiss and Zolt{\'{a}}n Nagy and P{\'{e}}ter Szolgay and Gy{\"{o}}rgy Csaba and Xiaobo Sharon Hu and Wolfgang Porod}, title = {Emulating massively parallel non-Boolean operators on {FPGA}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1981--1984}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169063}, doi = {10.1109/ISCAS.2015.7169063}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KissNSCHP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KleinCL15, author = {Philipp Klein and J{\"{o}}rg Conradt and Shih{-}Chii Liu}, title = {Scene stitching with event-driven sensors on a robot head platform}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2421--2424}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169173}, doi = {10.1109/ISCAS.2015.7169173}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KleinCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KlinefelterRTC15, author = {Alicia Klinefelter and Joseph F. Ryan and James W. Tschanz and Benton H. Calhoun}, title = {Error-energy analysis of hardware logarithmic approximation methods for low power applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2361--2364}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169158}, doi = {10.1109/ISCAS.2015.7169158}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KlinefelterRTC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KoLKKC15, author = {Chun{-}Lin Ko and Chun{-}Hsing Li and Chien{-}Nan Kuo and Ming{-}Ching Kuo and Da{-}Chiang Chang}, title = {A 8-mW 77-GHz band {CMOS} {LNA} by using reduced simultaneous noise and impedance matching technique}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2988--2991}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169315}, doi = {10.1109/ISCAS.2015.7169315}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KoLKKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Koivisto15, author = {Tero Koivisto}, title = {An injection-locked oscillator-multiplier circuitry suitable for {MB-OFDM} clock generation}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1690--1693}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168977}, doi = {10.1109/ISCAS.2015.7168977}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Koivisto15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KokLSZK15, author = {Chiang Liang Kok and Xin Li and Liter Siek and Di Zhu and Junjie Kong}, title = {A switched capacitor deadtime controller for {DC-DC} buck converter}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {217--220}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168609}, doi = {10.1109/ISCAS.2015.7168609}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KokLSZK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KongSK15, author = {Junjie Kong and Liter Siek and Chiang Liang Kok}, title = {A 9-bit body-biased vernier ring time-to-digital converter in 65 nm {CMOS} technology}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1650--1653}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168967}, doi = {10.1109/ISCAS.2015.7168967}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KongSK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KoptaTPRE15, author = {Vladimir Kopta and Raghavasimhan Thirunarayanan and Franz{-}Xaver Pengg and Erwan Le Roux and Christian C. Enz}, title = {A 2.4-GHz low complexity polar transmitter using dynamic biasing for {IEEE} 802.15.6}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1686--1689}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168976}, doi = {10.1109/ISCAS.2015.7168976}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KoptaTPRE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KrishnanP15, author = {Sandeep Krishnan and Shanthi Pavan}, title = {A 10 Gbps eye opening monitor in 65nm {CMOS}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {3028--3031}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169325}, doi = {10.1109/ISCAS.2015.7169325}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KrishnanP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KulkarniM15, author = {Amey M. Kulkarni and Tinoosh Mohsenin}, title = {Accelerating compressive sensing reconstruction {OMP} algorithm with CPU, GPU, {FPGA} and domain specific many-core}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {970--973}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168797}, doi = {10.1109/ISCAS.2015.7168797}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KulkarniM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KumarCC15, author = {Sushil Kumar and Srivatsan Chellappa and Lawrence T. Clark}, title = {Temporal pulse-clocked multi-bit flip-flop mitigating {SET} and {SEU}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {814--817}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168758}, doi = {10.1109/ISCAS.2015.7168758}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KumarCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KumarSA15, author = {B. K. Shreyamsha Kumar and M. N. Shanmukha Swamy and M. Omair Ahmad}, title = {Structural local {DCT} sparse appearance model for visual tracking}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1194--1197}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168853}, doi = {10.1109/ISCAS.2015.7168853}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KumarSA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KumarSD15, author = {A. R. Aravinth Kumar and Shiv Govind Singh and Ashudeb Dutta}, title = {Low power reconfigurable multi-mode {LNA} utilizing subthreshold bias and low-Q inductors}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {650--653}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168717}, doi = {10.1109/ISCAS.2015.7168717}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KumarSD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KumarZL15, author = {Sumeet S. Kumar and Amir Zjajo and Rene van Leuken}, title = {Physical characterization of steady-state temperature profiles in three-dimensional integrated circuits}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1969--1972}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169060}, doi = {10.1109/ISCAS.2015.7169060}, timestamp = {Tue, 13 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KumarZL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KuznetsovKLNYY15, author = {Nikolay V. Kuznetsov and Olga A. Kuznetsova and Gennady A. Leonov and P. Neittaanmuaki and Marat V. Yuldashev and Renat V. Yuldashev}, title = {Limitations of the classical phase-locked loop analysis}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {533--536}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168688}, doi = {10.1109/ISCAS.2015.7168688}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KuznetsovKLNYY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaCaraLG15, author = {Benjamin M. LaCara and Ping{-}Yao Lin and Matthew R. Guthaus}, title = {Multi-frequency resonant clocks}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1402--1405}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168905}, doi = {10.1109/ISCAS.2015.7168905}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaCaraLG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LacruzGCVP15, author = {Jesus Omar Lacruz and Francisco Garcia{-}Herrero and Ma Jos{\'{e}} Canet and Javier Valls and Asuncion Perez{-}Pascual}, title = {A 630 Mbps non-binary {LDPC} decoder for {FPGA}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1989--1992}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169065}, doi = {10.1109/ISCAS.2015.7169065}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LacruzGCVP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LahmiriB15, author = {Salim Lahmiri and Mounir Boukadoum}, title = {Physiological signal denoising with variational mode decomposition and weighted reconstruction after {DWT} thresholding}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {806--809}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168756}, doi = {10.1109/ISCAS.2015.7168756}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LahmiriB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaiC15, author = {Yeong{-}Kang Lai and Yu{-}Chieh Chung}, title = {An efficient and high quality rasterization algorithm and architecture in 3D graphics systems}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2537--2540}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169202}, doi = {10.1109/ISCAS.2015.7169202}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaiC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaihoPLPPK15, author = {Mika Laiho and Jonne K. Poikonen and Eero Lehtonen and Mikko P{\"{a}}nk{\"{a}}{\"{a}}l{\"{a}} and Jussi H. Poikonen and Pentti Kanerva}, title = {A 512{\texttimes}512-cell associative CAM/Willshaw memory with vector arithmetic}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1350--1353}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168892}, doi = {10.1109/ISCAS.2015.7168892}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaihoPLPPK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LakinsN15, author = {Tiffany L. Lakins and Chika O. Nwankpa}, title = {Measurement location analysis for information embedded power systems}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1883--1886}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169025}, doi = {10.1109/ISCAS.2015.7169025}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LakinsN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LangeHERHM15, author = {Andr{\'{e}} Lange and Ihor Harasymiv and Oliver Eisenberger and Frederic Roger and Joachim Haase and Rainer Minixhofer}, title = {Towards probabilistic analog behavioral modeling}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2728--2731}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169250}, doi = {10.1109/ISCAS.2015.7169250}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LangeHERHM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaszloNGRAS15, author = {Endre L{\'{a}}szl{\'{o}} and Zolt{\'{a}}n Nagy and Michael B. Giles and Istv{\'{a}}n Z. Reguly and Jeremy Appleyard and P{\'{e}}ter Szolgay}, title = {Analysis of parallel processor architectures for the solution of the Black-Scholes {PDE}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1977--1980}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169062}, doi = {10.1109/ISCAS.2015.7169062}, timestamp = {Thu, 21 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaszloNGRAS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaurenciuC15, author = {Nicoleta Cucu Laurenciu and Sorin Dan Cotofana}, title = {Low cost and energy, thermal noise driven, probability modulated random number generator}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2724--2727}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169249}, doi = {10.1109/ISCAS.2015.7169249}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaurenciuC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeDGSPIV15, author = {Khoa Le and David Declercq and Fakhreddine Ghaffari and Christian Spagnol and Emanuel M. Popovici and Predrag Ivanis and Bane Vasic}, title = {Efficient realization of probabilistic gradient descent bit flipping decoders}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1494--1497}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168928}, doi = {10.1109/ISCAS.2015.7168928}, timestamp = {Mon, 17 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeDGSPIV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lee15, author = {Edward K. F. Lee}, title = {A low voltage {CMOS} differential/floating bandgap voltage reference circuit}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {489--492}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168677}, doi = {10.1109/ISCAS.2015.7168677}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Lee15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lee15a, author = {Edward K. F. Lee}, title = {A 45V 10-b electrode monitoring analog-to-digital converter}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1238--1241}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168864}, doi = {10.1109/ISCAS.2015.7168864}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Lee15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeCBKK15, author = {Ho Sub Lee and Sung In Cho and Gyu Jin Bae and Young Hwan Kim and Hi{-}Seok Kim}, title = {Foreground-based depth map generation for 2D-to-3D conversion}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1210--1213}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168857}, doi = {10.1109/ISCAS.2015.7168857}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeCBKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeGA15, author = {Byunghun Lee and Maysam Ghovanloo and Dukju Ahn}, title = {Towards a three-phase time-multiplexed planar power transmission to distributed implants}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1770--1773}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168997}, doi = {10.1109/ISCAS.2015.7168997}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeGA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeHCC15, author = {Gwo Giun Chris Lee and Zuo{-}Jheng Huang and Chih{-}Yuan Chen and Chun{-}Fu Chen}, title = {Implementation of Gabor feature extraction algorithm for electrocardiogram on {FPGA}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {798--801}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168754}, doi = {10.1109/ISCAS.2015.7168754}, timestamp = {Mon, 23 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeHCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeLLKK15, author = {Daewoong Lee and Dongil Lee and Taeho Lee and Yong{-}Hun Kim and Lee{-}Sup Kim}, title = {An integrated time register and arithmetic circuit with combined operation for time-domain signal processing}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1830--1833}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169012}, doi = {10.1109/ISCAS.2015.7169012}, timestamp = {Thu, 19 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeLLKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeePHY15, author = {Jinmook Lee and Seongwook Park and Injoon Hong and Hoi{-}Jun Yoo}, title = {A 3.13nJ/sample energy-efficient speech extraction processor for robust speech recognition in mobile head-mounted display systems}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1790--1793}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169002}, doi = {10.1109/ISCAS.2015.7169002}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeePHY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeTLCT15, author = {Shuenn{-}Yuh Lee and Tzung{-}Min Tsai and Wei{-}Chih Lai and Soon{-}Jyh Chang and Stony Tai}, title = {A 925 MHz 1.4{\(\mu\)}W wireless energy-harvesting circuit with error-correction {ASK} demodulation for {RFID} healthcare system}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {101--104}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168580}, doi = {10.1109/ISCAS.2015.7168580}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeTLCT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LefterVC15, author = {Mihai Lefter and George Razvan Voicu and Sorin Dan Cotofana}, title = {A shared polyhedral cache for 3D wide-I/O multi-core computing platforms}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {425--428}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168661}, doi = {10.1109/ISCAS.2015.7168661}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LefterVC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LellisGIC15, author = {Pietro De Lellis and Francesco Garofalo and Francesco Lo Iudice and Giovanni Pugliese Carratelli}, title = {Topological bifurcations in networks of proximity Kuramoto oscillators}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2688--2691}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169240}, doi = {10.1109/ISCAS.2015.7169240}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LellisGIC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LemanNZLVHJR15, author = {Olivier Leman and Antonios Nikas and Haiyan Zhou and Jorge{-}Luis Lagos and Bakul Jitendra Vinchhi and Johann Hauer and Guillaume Jourdan and Patrice Rey}, title = {A versatile analog front-end for sensors based on piezoresistive silicon nanowire detection}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {666--669}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168721}, doi = {10.1109/ISCAS.2015.7168721}, timestamp = {Tue, 18 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LemanNZLVHJR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LenoirLJ15, author = {Vincent Lenoir and Didier Lattard and Ahmed Amine Jerraya}, title = {An energy-efficient {IEEE} 802.15.4 tunable digital baseband targeting self-adaptive WPANs}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1222--1225}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168860}, doi = {10.1109/ISCAS.2015.7168860}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LenoirLJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Leon-Salas15, author = {Walter D. Leon{-}Salas}, title = {Encoding compressive sensing measurements with Golomb-Rice codes}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2177--2180}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169112}, doi = {10.1109/ISCAS.2015.7169112}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Leon-Salas15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeuenbergerM15, author = {Spencer Leuenberger and Un{-}Ku Moon}, title = {A single OpAmp 2\({}^{\mbox{nd}}\)-Order {\(\Delta\)}{\(\Sigma\)} {ADC} with a double integrating quantizer}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {309--312}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168632}, doi = {10.1109/ISCAS.2015.7168632}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeuenbergerM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeungWM15, author = {Jerry Leung and Allen Waters and Un{-}Ku Moon}, title = {Selectable starting bit {SAR} {ADC}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1654--1657}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168968}, doi = {10.1109/ISCAS.2015.7168968}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeungWM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Li15, author = {Jing Li}, title = {Enabling phase-change memory for data-centric computing: Technology, circuitand system}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {21--24}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168560}, doi = {10.1109/ISCAS.2015.7168560}, timestamp = {Thu, 05 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Li15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiBBLYLD15, author = {Cheng{-}Han Li and Christian Brandli and Raphael Berner and Hongjie Liu and Minhao Yang and Shih{-}Chii Liu and Tobi Delbr{\"{u}}ck}, title = {Design of an {RGBW} color {VGA} rolling and global shutter dynamic and active-pixel vision sensor}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {718--721}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168734}, doi = {10.1109/ISCAS.2015.7168734}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiBBLYLD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiBM15, author = {Haitao Li and Sam Boling and Andrew J. Mason}, title = {Power efficient instrumentation with 100 fA-sensitivity and 164 dB-dynamic range for wearable chronoamperometric gas sensor arrays}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {485--488}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168676}, doi = {10.1109/ISCAS.2015.7168676}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiBM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiC15, author = {You Li and Degang Chen}, title = {A novel 20-bit {R-2R} {DAC} structure based on ordered element matching}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1030--1033}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168812}, doi = {10.1109/ISCAS.2015.7168812}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiFLT15, author = {Qiaohong Li and Yuming Fang and Weisi Lin and Daniel Thalmann}, title = {Gradient-weighted structural similarity for image quality assessments}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2165--2168}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169109}, doi = {10.1109/ISCAS.2015.7169109}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiFLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiL15, author = {Li Li and Houqiang Li}, title = {{\(\lambda\)} Domain based optimal bit allocation for scalable high efficiency video coding}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2788--2791}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169265}, doi = {10.1109/ISCAS.2015.7169265}, timestamp = {Mon, 05 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiLCHLLCWHLCLLY15, author = {Kai{-}Shin Li and Ming{-}Taou Lee and Min{-}Cheng Chen and Cho{-}Lun Hsu and J. M. Lu and C. H. Lin and C. C. Chen and B. W. Wu and Y. F. Hou and C. Yi. Lin and Y. J. Chen and T. Y. Lai and M. Y. Li and I. Yang and C. S. Wu and Fu{-}Liang Yang and W. K. Yeh}, title = {Study of sub-5 nm RRAM, tunneling selector and selector less device}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {385--388}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168651}, doi = {10.1109/ISCAS.2015.7168651}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiLCHLLCWHLCLLY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiLHY15, author = {Yan Li and Xiaoqian Li and Jianhao Hu and Sheng Yang}, title = {Area-sharing cyclic structure {MRF} cirucits design in ultra-low supply voltage}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2353--2356}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169156}, doi = {10.1109/ISCAS.2015.7169156}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiLHY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiLLMCWQ15, author = {Hai Li and Beiye Liu and Xiaoxiao Liu and Mengjie Mao and Yiran Chen and Qing Wu and Qinru Qiu}, title = {The applications of memristor devices in next-generation cortical processor designs}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {17--20}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168559}, doi = {10.1109/ISCAS.2015.7168559}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiLLMCWQ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiLLY15, author = {Li Li and Houqiang Li and Zhuoyi Lv and Haitao Yang}, title = {An affine motion compensation framework for high efficiency video coding}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {525--528}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168686}, doi = {10.1109/ISCAS.2015.7168686}, timestamp = {Thu, 08 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiLLY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiLWCCL15, author = {Yining Li and Zhen Li and Siu Chung Wong and Xi Chen and Zhen Chen and Xiangdong Liu}, title = {Bifurcation study of three-phase inverter system with interacting loads}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1710--1713}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168982}, doi = {10.1109/ISCAS.2015.7168982}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiLWCCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiMH15, author = {Dawei Li and Seda Ogrenci Memik and Lawrence J. Henschen}, title = {On-chip integration of thermoelectric energy harvesting in 3D ICs}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1078--1081}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168824}, doi = {10.1109/ISCAS.2015.7168824}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiMH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiPXFYCC15, author = {Yuming Li and Lai{-}Man Po and Xuyuan Xu and Litong Feng and Fang Yuan and Chun{-}Ho Cheung and Kwok{-}Wai Cheung}, title = {No-reference image quality assessment using shearlet transform and stacked autoencoders}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1594--1597}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168953}, doi = {10.1109/ISCAS.2015.7168953}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiPXFYCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiQWY15, author = {Yi Li and Fei Qiao and Qi Wei and Huazhong Yang}, title = {Physical computing circuit with no clock to establish Gaussian pyramid of {SIFT} algorithm}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2057--2060}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169082}, doi = {10.1109/ISCAS.2015.7169082}, timestamp = {Mon, 03 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiQWY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiRJW15, author = {Xiaoyong Li and Woogeun Rhee and Wen Jia and Zhihua Wang}, title = {A multi-bit {FIR} filtering technique for two-point modulators with dedicated digital high-pass modulation path}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {894--897}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168778}, doi = {10.1109/ISCAS.2015.7168778}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiRJW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiTK15, author = {Xing Li and Chi{-}Ying Tsui and Wing{-}Hung Ki}, title = {{UHF} energy harvesting system using reconfigurable rectifier for wireless sensor network}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {93--96}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168578}, doi = {10.1109/ISCAS.2015.7168578}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiTK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiWCW15, author = {Xufeng Li and Ronggang Wang and Xiaole Cui and Wenmin Wang}, title = {Context-adaptive fast motion estimation of {HEVC}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2784--2787}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169264}, doi = {10.1109/ISCAS.2015.7169264}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiWCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiXKSP15, author = {Qingjiang Li and Hui Xu and Ali Khiat and Zhaolin Sun and Themistoklis Prodromakis}, title = {Impact of active areas on electrical characteristics of TiO2 based solid-state memristors}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {185--188}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168601}, doi = {10.1109/ISCAS.2015.7168601}, timestamp = {Fri, 27 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiXKSP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiYYZCL15, author = {Zheng Li and Bonan Yan and Lun Yang and Weisheng Zhao and Yiran Chen and Hai Li}, title = {A new self-reference sensing scheme for {TLC} {MRAM}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {593--596}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168703}, doi = {10.1109/ISCAS.2015.7168703}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiYYZCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiaoSLWTWL15, author = {Xiaozhong Liao and Hang Sun and Zhen Li and Siu Chung Wong and Li Tian and Miaoyuan Wang and Xiangdong Liu}, title = {Impedance modeling of DFIG-wind turbine system}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {245--248}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168616}, doi = {10.1109/ISCAS.2015.7168616}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiaoSLWTWL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinCAZHL15, author = {Jian{-}Liang Lin and Yi{-}Wen Chen and Jicheng An and Kai Zhang and Yu{-}Wen Huang and Shawmin Lei}, title = {Advanced motion information prediction and inheritance in 3D-HEVC}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1126--1129}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168836}, doi = {10.1109/ISCAS.2015.7168836}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LinCAZHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinCKLCLCYH15, author = {Jin{-}Yi Lin and Kwuang{-}Han Chang and Chen{-}Che Kao and Shih{-}Chin Lo and Yan{-}Jiun Chen and Pei{-}Chen Lee and Chi{-}Hui Chen and Chin Yin and Chih{-}Cheng Hsieh}, title = {An 8-bit column-shared {SAR} {ADC} for {CMOS} image sensor applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {301--304}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168630}, doi = {10.1109/ISCAS.2015.7168630}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinCKLCLCYH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinFIG15, author = {Ping{-}Yao Lin and Hany Ahmed Fahmy and Riadul Islam and Matthew R. Guthaus}, title = {{LC} resonant clock resource minimization using compensation capacitance}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1406--1409}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168906}, doi = {10.1109/ISCAS.2015.7168906}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinFIG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinOR15, author = {Sheng{-}En Lin and Shi{-}Hao Ou and Robert Rieger}, title = {Dual-channel pulse-width-modulation {ASIC} for isolated bio-signal recording front-end}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1246--1249}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168866}, doi = {10.1109/ISCAS.2015.7168866}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinOR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinT15, author = {Yu{-}Chuan Lin and Hen{-}Wai Tsao}, title = {A high-speed high-accuracy voltage-to-time-difference converter for time domain analog-to-digital converters}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2285--2288}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169139}, doi = {10.1109/ISCAS.2015.7169139}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinWO15, author = {Zhiping Lin and Yau Wong and Raimund J. Ober}, title = {Limit of the accuracy of parameter estimation for two molecules moving in close proximity}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {441--444}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168665}, doi = {10.1109/ISCAS.2015.7168665}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinWO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinYXC15, author = {Yinyin Lin and Rui Yuan and Xiaoyong Xue and B. A. Chen}, title = {3D vertical {RRAM} architecture and operation algorithms with effective IR-drop suppressing and anti-disturbance}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {377--380}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168649}, doi = {10.1109/ISCAS.2015.7168649}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinYXC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Linares-Barranco15, author = {Alejandro Linares{-}Barranco and Francisco Gomez{-}Rodriguez and Vicente Villanueva and Luca Longinotti and Tobi Delbr{\"{u}}ck}, title = {A {USB3.0} {FPGA} event-based filtering and tracking framework for dynamic vision sensors}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2417--2420}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169172}, doi = {10.1109/ISCAS.2015.7169172}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Linares-Barranco15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinnartzWMM15, author = {Jean{-}Paul M. G. Linnartz and Yan Wu and J. G. A. Maree and Marion K. Matters{-}Kammerer}, title = {Multiple antenna rectifiers for radio frequency energy scavenging in wireless sensors}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {702--705}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168730}, doi = {10.1109/ISCAS.2015.7168730}, timestamp = {Fri, 23 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinnartzWMM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LisaB15, author = {Nusrat Jahan Lisa and Hafiz Md. Hasan Babu}, title = {A compact representation of a quantum controlled ternary barrel shifter}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2145--2148}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169104}, doi = {10.1109/ISCAS.2015.7169104}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LisaB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuBLLD15, author = {Hongjie Liu and Christian Brandli and Cheng{-}Han Li and Shih{-}Chii Liu and Tobi Delbr{\"{u}}ck}, title = {Design of a spatiotemporal correlation filter for event-based sensors}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {722--725}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168735}, doi = {10.1109/ISCAS.2015.7168735}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuBLLD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuBM15, author = {Yao Liu and Edoardo Bonizzoni and Franco Maloberti}, title = {A single Op-Amp 0+2 sigma-delta modulator}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2029--2032}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169075}, doi = {10.1109/ISCAS.2015.7169075}, timestamp = {Mon, 16 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuBM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuCWZ15, author = {Zhong Liu and Shing{-}Chow Chan and Chong Wang and Shuai Zhang}, title = {Multi-view articulated human body tracking with textured deformable mesh model}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1038--1041}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168814}, doi = {10.1109/ISCAS.2015.7168814}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuCWZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuFZZ15, author = {Baohong Liu and Feiyan Fan and Hai Zhang and Cuiping Zeng}, title = {A wideband down conversion mixer with dual cross-coupled loops for software defined radio}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {990--993}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168802}, doi = {10.1109/ISCAS.2015.7168802}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuFZZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuGT15, author = {Jincai Liu and Weixin Gai and Liangxiao Tang}, title = {A novel 6-Gbps half-rate {SST} transmitter with impedance calibration and adjustable pre-emphasis}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {341--344}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168640}, doi = {10.1109/ISCAS.2015.7168640}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuGT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuGWL15, author = {Yun{-}Fu Liu and Jing{-}Ming Guo and Zong{-}Jhe Wu and Hua Lee}, title = {Near-aperiodic dot-diffused block truncation coding}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1282--1285}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168875}, doi = {10.1109/ISCAS.2015.7168875}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuGWL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuHM15, author = {Xun Liu and Cheng Huang and Philip K. T. Mok}, title = {Dynamic performance analysis of 3-level integrated buck converters}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2093--2096}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169091}, doi = {10.1109/ISCAS.2015.7169091}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuHM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuHMMJL15, author = {Junxiu Liu and Jim Harkin and Malachy McElholm and Liam McDaid and Angel Jim{\'{e}}nez{-}Fernandez and Alejandro Linares{-}Barranco}, title = {Case study: Bio-inspired self-adaptive strategy for spike-based {PID} controller}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2700--2703}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169243}, doi = {10.1109/ISCAS.2015.7169243}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuHMMJL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuL15, author = {Qiang Liu and Haie Li}, title = {A hierarchical {IP} protection approach for hard {IP} cores}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1566--1569}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168946}, doi = {10.1109/ISCAS.2015.7168946}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuLFNLD15, author = {Xingyu Liu and Shikai Li and Kuan Fang and Yufei Ni and Zonghui Li and Yangdong Deng}, title = {RadixBoost: {A} hardware acceleration structure for scalable radix sort on graphic processors}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1174--1177}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168848}, doi = {10.1109/ISCAS.2015.7168848}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuLFNLD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuLW15, author = {Shenghao Liu and Ke Li and Peter Wilson}, title = {A temperature independent driver for Mach-Zehnder modulators}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1474--1477}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168923}, doi = {10.1109/ISCAS.2015.7168923}, timestamp = {Thu, 10 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuLWY15, author = {Jianan Liu and Xueqing Li and Qi Wei and Huazhong Yang}, title = {A 14-bit 1.0-GS/s dynamic element matching {DAC} with {\textgreater}80 dB {SFDR} up to the Nyquist}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1026--1029}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168811}, doi = {10.1109/ISCAS.2015.7168811}, timestamp = {Mon, 03 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuLWY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuMZW15, author = {Jinghui Liu and Songping Mai and Chun Zhang and Zhihua Wang}, title = {A high-voltage, energy-efficient, 4-electrode output stage for implantable neural stimulator}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {762--765}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168745}, doi = {10.1109/ISCAS.2015.7168745}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuMZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuSST15, author = {Weicheng Liu and Emre Salman and Can Sitik and Baris Taskin}, title = {Enhanced level shifter for multi-voltage operation}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1442--1445}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168915}, doi = {10.1109/ISCAS.2015.7168915}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuSST15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuWJZ15, author = {Yuequan Liu and Yuan Wang and Song Jia and Xing Zhang}, title = {180.5Mbps-8Gbps DLL-based clock and data recovery circuit with low jitter performance}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1394--1397}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168903}, doi = {10.1109/ISCAS.2015.7168903}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuWJZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuX15, author = {Huiyun Liu and Yongxiang Xia}, title = {Optimal resource allocation under {TCP} Reno and Vegas in complex communication networks}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2676--2679}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169237}, doi = {10.1109/ISCAS.2015.7169237}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuYLYYYJX15, author = {Xu Liu and Lei Yao and Peng Li and Mei Yan and Shih{-}Cheng Yen and Hao Yu and Minkyu Je and Yong Ping Xu}, title = {A 16-channel 24-V 1.8-mA power efficiency enhanced neural/muscular stimulator with exponentially decaying stimulation current}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2992--2995}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169316}, doi = {10.1109/ISCAS.2015.7169316}, timestamp = {Tue, 17 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuYLYYYJX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuYWCO15, author = {Weiqiang Liu and Yifei Yu and Chenghua Wang and Yijun Cui and M{\'{a}}ire O'Neill}, title = {{RO} {PUF} design in FPGAs with new comparison strategies}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {77--80}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168574}, doi = {10.1109/ISCAS.2015.7168574}, timestamp = {Wed, 22 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuYWCO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuZLO15, author = {Kexin Liu and Henghui Zhu and Jinhu Lu and Maciej J. Ogorzalek}, title = {Cooperative Design of Networked Observers for Stabilizing {LTI} Plants}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2680--2683}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169238}, doi = {10.1109/ISCAS.2015.7169238}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuZLO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuZZRLS15, author = {Xilin Liu and Hongjie Zhu and Milin Zhang and Andrew G. Richardson and Timothy H. Lucas and Jan Van der Spiegel}, title = {Design of a low-noise, high power efficiency neural recording front-end with an integrated real-time compressed sensing unit}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2996--2999}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169317}, doi = {10.1109/ISCAS.2015.7169317}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuZZRLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LoRHS15, author = {Yung{-}Chung Lo and Negar Rashidi and Yin{-}Huan Hwang and Jos{\'{e}} Silva{-}Mart{\'{\i}}nez}, title = {A 0.6ps jitter 2-16 GHz 130nm {CMOS} frequency synthesizer for broadband applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {3048--3051}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169330}, doi = {10.1109/ISCAS.2015.7169330}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LoRHS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LocatelliVZKGQ15, author = {Nicolas Locatelli and Damir Vodenicarevic and Weisheng Zhao and Jacques{-}Olivier Klein and Julie Grollier and Damien Querlioz}, title = {Vortex-based spin transfer oscillator compact model for {IC} design}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {589--592}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168702}, doi = {10.1109/ISCAS.2015.7168702}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LocatelliVZKGQ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LopezNMS15, author = {Giorgio Lopez and Ettore Napoli and Domenico Meglio and Antonio G. M. Strollo}, title = {An {FPGA} processor for real-time, fixed-point refinement of {CDVS} keypoints}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2832--2835}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169276}, doi = {10.1109/ISCAS.2015.7169276}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LopezNMS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LorenzonCB15, author = {Arthur Francisco Lorenzon and M{\'{a}}rcia C. Cera and Antonio Carlos Schneider Beck}, title = {On the influence of static power consumption in multicore embedded systems}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1374--1377}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168898}, doi = {10.1109/ISCAS.2015.7168898}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LorenzonCB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LouMY15, author = {Xin Lou and Pramod Kumar Meher and Ya Jun Yu}, title = {Fine-grained pipelining for multiple constant multiplications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {966--969}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168796}, doi = {10.1109/ISCAS.2015.7168796}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LouMY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LouY15, author = {Xin Lou and Ya Jun Yu}, title = {Area-time efficient realization of multiple constant multiplication}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {962--965}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168795}, doi = {10.1109/ISCAS.2015.7168795}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LouY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuC15, author = {Lin{-}Yu Lu and Chia{-}Chi Chu}, title = {Consensus-based distributed droop control of synchronverters for isolated micro-grids}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {914--917}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168783}, doi = {10.1109/ISCAS.2015.7168783}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuH15, author = {Wu{-}Sheng Lu and Takao Hinamoto}, title = {Optimal design of composite digital filters using convex-concave procedure}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2952--2955}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169306}, doi = {10.1109/ISCAS.2015.7169306}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuLL15, author = {Zhenbo Lu and Houqiang Li and Weiping Li}, title = {Image deblocking via group sparsity optimization}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1582--1585}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168950}, doi = {10.1109/ISCAS.2015.7168950}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LukasC15, author = {Christopher J. Lukas and Benton H. Calhoun}, title = {A 0.38 pj/bit 1.24 nW chip-to-chip serial link for ultra-low power systems}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2860--2863}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169283}, doi = {10.1109/ISCAS.2015.7169283}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LukasC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuoMMQSG15, author = {Falei Luo and Siwei Ma and Juncheng Ma and Honggang Qi and Li Su and Wen Gao}, title = {Multiple layer parallel motion estimation on {GPU} for High Efficiency Video Coding {(HEVC)}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1122--1125}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168835}, doi = {10.1109/ISCAS.2015.7168835}, timestamp = {Thu, 03 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuoMMQSG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuoZZWY15, author = {Jun Luo and Lei Zhang and Li Zhang and Yan Wang and Zhiping Yu}, title = {A 24GHz low power and low phase noise {PLL} frequency synthesizer with constant {KVCO} for 60GHz wireless applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2840--2543}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169278}, doi = {10.1109/ISCAS.2015.7169278}, timestamp = {Thu, 23 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuoZZWY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuoZZZWY15, author = {Jun Luo and Lei Zhang and Wei Zhu and Li Zhang and Yan Wang and Zhiping Yu}, title = {A 64dB gain 60GHz receiver with 7.1dB noise figure for 802.11ad applications in 90nm {CMOS}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2401--2404}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169168}, doi = {10.1109/ISCAS.2015.7169168}, timestamp = {Fri, 16 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LuoZZZWY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LvL15, author = {Lishan Lv and Qiang Li}, title = {300mV 50kHz 75.9dB {SNDR} {CT} {\(\Delta\)}{\(\Sigma\)} Modulator with Inverter-based Feedforward OTAs}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {313--316}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168633}, doi = {10.1109/ISCAS.2015.7168633}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LvL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MabroukFGD15, author = {Mouna Ben Mabrouk and Guillaume Ferr{\'{e}} and {\'{E}}ric Grivel and Nathalie Deltimple}, title = {A new baseband post-distortion technique for power amplifiers in OFDM-based cognitive radio systems}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1486--1489}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168926}, doi = {10.1109/ISCAS.2015.7168926}, timestamp = {Fri, 08 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MabroukFGD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MadanayakeWLD15, author = {Arjuna Madanayake and Chamith Wijenayake and Zhiping Lin and Nathan Dornback}, title = {Recent advances in multidimensional systems and signal processing: An overview}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2365--2368}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169159}, doi = {10.1109/ISCAS.2015.7169159}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MadanayakeWLD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MajumderSS15, author = {Turbo Majumder and Manan Suri and Vinay Shekhar}, title = {NoC router using {STT-MRAM} based hybrid buffers with error correction and limited flit retransmission}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2305--2308}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169144}, doi = {10.1109/ISCAS.2015.7169144}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MajumderSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MakHLG15, author = {Kai Ho Mak and Marco Ho and Ka Nang Leung and Wang Ling Goh}, title = {Design considerations of {STCB} {OTA} in {CMOS} 65nm with large capacitive loads}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2465--2468}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169184}, doi = {10.1109/ISCAS.2015.7169184}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MakHLG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MalandKL15, author = {Kenneth Maland and Kristian Gjertsen Kjelg{\aa}rd and Tor Sverre Lande}, title = {{CMOS} distributed amplifiers for {UWB} radar}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1298--1301}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168879}, doi = {10.1109/ISCAS.2015.7168879}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MalandKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MamunM15, author = {Khandaker A. Al Mamun and Nicole McFarlane}, title = {A {CMOS} potentiostatic glucose monitoring system for {VACNF} amperometric biosensors}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {477--480}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168674}, doi = {10.1109/ISCAS.2015.7168674}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MamunM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaoZLY15, author = {Jingna Mao and Bo Zhao and Yong Lian and Huazhong Yang}, title = {A 5-tissue-layer lumped-element based {HBC} circuit model compatible to {IEEE802.15.6}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2632--2635}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169226}, doi = {10.1109/ISCAS.2015.7169226}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaoZLY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MarranghelloCMR15, author = {Felipe S. Marranghello and Vinicius Callegaro and Mayler G. A. Martins and Andr{\'{e}} In{\'{a}}cio Reis and Renato P. Ribas}, title = {Improved logic synthesis for memristive stateful logic using multi-memristor implication}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {181--184}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168600}, doi = {10.1109/ISCAS.2015.7168600}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MarranghelloCMR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MarranghelloRR15, author = {Felipe S. Marranghello and Andr{\'{e}} In{\'{a}}cio Reis and Renato P. Ribas}, title = {Fast buffer delay estimation considering time-dependent dielectric breakdown}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {177--180}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168599}, doi = {10.1109/ISCAS.2015.7168599}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MarranghelloRR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MartelCDC15, author = {Julien N. P. Martel and Miguel Chau and Piotr Dudek and Matthew Cook}, title = {Toward joint approximate inference of visual quantities on cellular processor arrays}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2061--2064}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169083}, doi = {10.1109/ISCAS.2015.7169083}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MartelCDC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MartinsLCH15, author = {Ricardo Martins and Nuno Louren{\c{c}}o and Ant{\'{o}}nio Canelas and Nuno Horta}, title = {Extraction and application of wiring symmetry rules to route analog multiport terminals}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1945--1948}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169054}, doi = {10.1109/ISCAS.2015.7169054}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MartinsLCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MashkovtsevAR15, author = {Vladimir Mashkovtsev and Ali Attaran and Rashid Rashidzadeh}, title = {{DLL} based test solution for interposers in 2.5-D ICs}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2261--2264}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169133}, doi = {10.1109/ISCAS.2015.7169133}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MashkovtsevAR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MassariP15, author = {Nicola Massari and Matteo Perenzoni}, title = {A time-based technique for a resistive detector}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {361--364}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168645}, doi = {10.1109/ISCAS.2015.7168645}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MassariP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MatosPKCS15, author = {Debora Matos and Max Prass and M{\'{a}}rcio Eduardo Kreutz and Luigi Carro and Altamiro Amadeu Susin}, title = {Performance evaluation of hierarchical NoC topologies for stacked 3D ICs}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1961--1964}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169058}, doi = {10.1109/ISCAS.2015.7169058}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MatosPKCS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MatsuzukaHSKN15, author = {Ryo Matsuzuka and Tetsuya Hirose and Yuzuru Shizuku and Nobutaka Kuroki and Masahiro Numa}, title = {A 0.19-V minimum input low energy level shifter for extremely low-voltage VLSIs}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2948--2951}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169305}, doi = {10.1109/ISCAS.2015.7169305}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MatsuzukaHSKN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MattiaKBS15, author = {Oscar E. Mattia and Hamilton Klimach and Sergio Bampi and M{\'{a}}rcio C. Schneider}, title = {0.7 {V} supply self-biased nanoWatt MOS-only threshold voltage monitor}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {497--500}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168679}, doi = {10.1109/ISCAS.2015.7168679}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MattiaKBS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MeinerzhagenBKR15, author = {Pascal Andreas Meinerzhagen and Andrea Bonetti and Georgios Karakonstantis and Christoph Roth and Frank Giirkaynak and Andreas Peter Burg}, title = {Refresh-free dynamic standard-cell based memories: Application to a {QC-LDPC} decoder}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1426--1429}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168911}, doi = {10.1109/ISCAS.2015.7168911}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MeinerzhagenBKR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MengZHPT15, author = {Xin Meng and Yi Zhang and Tao He and Pedram Payandehnia and Gabor C. Temes}, title = {A noise-coupled time-interleaved delta-sigma modulator with shifted loop delays}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2045--2048}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169079}, doi = {10.1109/ISCAS.2015.7169079}, timestamp = {Wed, 10 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MengZHPT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MerinoKBSDD15, author = {Jos{\'{e}} Luis Merino and Onur Kazanc and Nicolas Brunner and Vincent Schlageter and Michel Demierre and Catherine Dehollain}, title = {Low power receiver for magnetic digestive motility tracking pill}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {469--472}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168672}, doi = {10.1109/ISCAS.2015.7168672}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MerinoKBSDD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Merrikh-BayatGO15, author = {Farnood Merrikh{-}Bayat and Xinjie Guo and H. A. Ommani and N. Do and Konstantin K. Likharev and Dmitri B. Strukov}, title = {Redesigning commercial floating-gate memory for analog computing applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1921--1924}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169048}, doi = {10.1109/ISCAS.2015.7169048}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Merrikh-BayatGO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MetwallyLX15, author = {Mohamed Metwally and Nicholai L'Esperance and Tian Xia}, title = {Ground penetrating radar utilizing compressive sampling and {OFDM} techniques}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2117--2120}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169097}, doi = {10.1109/ISCAS.2015.7169097}, timestamp = {Sun, 26 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MetwallyLX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MiguelCL15, author = {Jos{\'{e}} Mar{\'{\i}}a Algueta{-}Miguel and Carlos Aristoteles De la Cruz{-}Blas and Antonio J. L{\'{o}}pez{-}Mart{\'{\i}}n}, title = {A 760{\(\mu\)}W 4\({}^{\mbox{th}}\) order butterworth {FGMOS} Gm-C filter with enhanced linearity}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {277--280}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168624}, doi = {10.1109/ISCAS.2015.7168624}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MiguelCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MilevskyAGF15, author = {Borislav Milevsky and Myriam Ariaudo and Jean{-}Luc Gautier and Inbar Fijalkow}, title = {A simplified frequency synthesizer architecture thanks to interference cancellation}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1506--1509}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168931}, doi = {10.1109/ISCAS.2015.7168931}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MilevskyAGF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MilleriouxP15, author = {Gilles Millerioux and J{\'{e}}r{\'{e}}my Parriaux}, title = {Discrete time-varying delayed systems for secure communication}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {870--873}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168772}, doi = {10.1109/ISCAS.2015.7168772}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MilleriouxP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MirzaLCL15, author = {Bilal Mirza and Zhiping Lin and Jiuwen Cao and Xiaoping Lai}, title = {Voting based weighted online sequential extreme learning machine for imbalance multi-class classification}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {565--568}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168696}, doi = {10.1109/ISCAS.2015.7168696}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MirzaLCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MirzadehBS15, author = {Zeynab Mirzadeh and Jean{-}Fran{\c{c}}ois Boland and Yvon Savaria}, title = {Modeling the faulty behaviour of digital designs using a feed forward neural network approach}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1518--1521}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168934}, doi = {10.1109/ISCAS.2015.7168934}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MirzadehBS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoeysDL15, author = {Diederik Paul Moeys and Tobias Delbr{\"{u}}ck and Shih{-}Chii Liu}, title = {Current-mode automated quality control cochlear resonator for bird identity tagging}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1734--1737}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168988}, doi = {10.1109/ISCAS.2015.7168988}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoeysDL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MohamedM15, author = {Sherif Ahmed Saleh Mohamed and Yiannos Manoli}, title = {Design of low-power and low-phase noise {VCO} in standard 0.13{\(\mu\)}m {CMOS}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {750--753}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168742}, doi = {10.1109/ISCAS.2015.7168742}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MohamedM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MohantyMS15, author = {Basant K. Mohanty and Pramod Kumar Meher and Thambipillai Srikanthan}, title = {Critical-path optimization for efficient hardware realization of lifting and flipping DWTs}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1186--1189}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168851}, doi = {10.1109/ISCAS.2015.7168851}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MohantyMS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MolzahnBH15, author = {Daniel K. Molzahn and Sina S. Baghsorkhi and Ian A. Hiskens}, title = {Semidefinite relaxations of equivalent optimal power flow problems: An illustrative example}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1887--1890}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169026}, doi = {10.1109/ISCAS.2015.7169026}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MolzahnBH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MondalK15, author = {Imon Mondal and Nagendra Krishnapura}, title = {Gain enhanced high frequency {OTA} with on-chip tuned negative conductance load}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2085--2088}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169089}, doi = {10.1109/ISCAS.2015.7169089}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MondalK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MonteiroGBZ15, author = {Eduarda Monteiro and Mateus Grellert and Sergio Bampi and Bruno Zatt}, title = {Rate-distortion and energy performance of {HEVC} and {H.264/AVC} encoders: {A} comparative analysis}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1278--1281}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168874}, doi = {10.1109/ISCAS.2015.7168874}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MonteiroGBZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MorgadoRR15, author = {Alonso Morgado and Roc{\'{\i}}o del R{\'{\i}}o and Jos{\'{e}} M. de la Rosa}, title = {Energy efficient transconductor for widely programmable analog circuits and systems}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1814--1817}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169008}, doi = {10.1109/ISCAS.2015.7169008}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MorgadoRR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MorishitaSKY15, author = {Shuei Morishita and Shinji Shimizu and Takao Kihara and Tsutomu Yoshimura}, title = {Subharmonically injection-locked {PLL} with variable pulse-width injections}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {557--560}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168694}, doi = {10.1109/ISCAS.2015.7168694}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MorishitaSKY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoserLG15, author = {Nicolas Moser and Tor Sverre Lande and Pantelis Georgiou}, title = {A novel pH-to-time {ISFET} pixel architecture with offset compensation}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {481--484}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168675}, doi = {10.1109/ISCAS.2015.7168675}, timestamp = {Fri, 19 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MoserLG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MuXFM15, author = {Jing Mu and Ruiqin Xiong and Xiaopeng Fan and Siwei Ma}, title = {Compression artifact reduction for low bit-rate images based on non-local similarity and across-resolution coherence}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {129--132}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168587}, doi = {10.1109/ISCAS.2015.7168587}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MuXFM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MujicaGGPR15, author = {Gabriel Mujica and Alejandro Garcia and Javier Gordillo and Jorge Portilla and Teresa Riesgo}, title = {A novel on-site deployment, commissioning and debugging technique to assess and validate {WSN} based smart systems}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1722--1725}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168985}, doi = {10.1109/ISCAS.2015.7168985}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MujicaGGPR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MuratoreBM15, author = {Dante Gabriel Muratore and Edoardo Bonizzoni and Franco Maloberti}, title = {A split transconductor high-speed {SAR} {ADC}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2433--2436}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169176}, doi = {10.1109/ISCAS.2015.7169176}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MuratoreBM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NabovatiGS15, author = {Ghazal Nabovati and Ebrahim Ghafar{-}Zadeh and Mohamad Sawan}, title = {A 64 pixel ISFET-based biosensor for extracellular pH gradient monitoring}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1762--1765}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168995}, doi = {10.1109/ISCAS.2015.7168995}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NabovatiGS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NagashimaWBAS15, author = {Tomoharu Nagashima and Xiuqin Wei and Elisenda Bou and Eduard Alarc{\'{o}}n and Hiroo Sekiya}, title = {Analytical design for resonant inductive coupling wireless power transfer system with class-E inverter and class-DE rectifier}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {686--689}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168726}, doi = {10.1109/ISCAS.2015.7168726}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NagashimaWBAS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NakagawaIYKYUMK15, author = {Tomoki Nakagawa and Shintaro Izumi and Koji Yanagida and Yuki Kitahara and Shusuke Yoshimoto and Yohei Umeki and Haruki Mori and Hiroto Kitahara and Hiroshi Kawaguchi and Hiromitsu Kimura and Kyoji Marumoto and Takaaki Fuchikami and Yoshikazu Fujimori and Masahiko Yoshimoto}, title = {A low power 6T-4C non-volatile memory using charge sharing and non-precharge techniques}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2904--2907}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169294}, doi = {10.1109/ISCAS.2015.7169294}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NakagawaIYKYUMK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NeshatvarLJD15, author = {Nazanin Neshatvar and Peter J. Langlois and Dai Jiang and Andreas Demosthenous}, title = {An integrated {CMOS} current driver using nonlinear feedback for bioimpedance applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1250--1253}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168867}, doi = {10.1109/ISCAS.2015.7168867}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NeshatvarLJD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NguyenD15, author = {Viet Anh Nguyen and Minh N. Do}, title = {Efficient coding unit size selection for {HEVC} downsizing transcoding}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1286--1289}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168876}, doi = {10.1109/ISCAS.2015.7168876}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NguyenD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NguyenNP15, author = {Xuan{-}Thuan Nguyen and Hong{-}Thu Nguyen and Cong{-}Kha Pham}, title = {Parallel pipelining configurable multi-port memory controller for multimedia applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2908--2911}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169295}, doi = {10.1109/ISCAS.2015.7169295}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NguyenNP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NieH15, author = {Ding Nie and Bertrand M. Hochwald}, title = {Bandwidth bounds for matching coupled loads}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {137--140}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168589}, doi = {10.1109/ISCAS.2015.7168589}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NieH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NieZL15, author = {Xiaobing Nie and Wei Xing Zheng and Jinhu Lu}, title = {Stability analysis of multiple equilibria for recurrent neural networks with discontinuous Mexican-hat-type activation function}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {569--572}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168697}, doi = {10.1109/ISCAS.2015.7168697}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NieZL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NikoofardKBAF15, author = {Ali Nikoofard and Siavash Kananian and Baktash Behmanesh and Seyed Mojtaba Atarodi and Ali Fotowat{-}Ahmady}, title = {Analysis of imperfections in N-phase high-Q band-pass filters}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {273--276}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168623}, doi = {10.1109/ISCAS.2015.7168623}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NikoofardKBAF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NoorAAR15, author = {Fazal Noor and Majed Alhaisoni and Mashaan A. Alshammari and Ravi Prakash Ramachandran}, title = {Distinguishing medical drugs from a large set of side effects using a distributed genetic algorithm on a {PC} cluster}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {790--793}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168752}, doi = {10.1109/ISCAS.2015.7168752}, timestamp = {Wed, 03 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NoorAAR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NsameBS15, author = {Pascal Nsame and Guy Bois and Yvon Savaria}, title = {Analysis and characterization of data energy tradeoffs: For {VLSI} architectural agility in {C-RAN} platforms}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1466--1469}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168921}, doi = {10.1109/ISCAS.2015.7168921}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NsameBS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NsengiyumvaY15, author = {Patrick Nsengiyumva and Qiaoyan Yu}, title = {Investigation of single-event upsets in dynamic logic based flip-flops}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {818--821}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168759}, doi = {10.1109/ISCAS.2015.7168759}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NsengiyumvaY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NtinasVS15, author = {Vasileios G. Ntinas and Ioannis Vourkas and Georgios Ch. Sirakoulis}, title = {{LC} filters with enhanced memristive damping}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2664--2667}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169234}, doi = {10.1109/ISCAS.2015.7169234}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NtinasVS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NuthakkiCC15, author = {Srinivasa Shashank Nuthakki and Santanu Chattopadhyay and Mrityunjoy Chakraborty}, title = {Test set customization for improved fault diagnosis without sacrificing coverage}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1574--1577}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168948}, doi = {10.1109/ISCAS.2015.7168948}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NuthakkiCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NwankpaJJ15, author = {Chika O. Nwankpa and Juan C. Jim{\'{e}}nez and Sachi Jayasuriya}, title = {Observability of network-delayed multi-converter power systems}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {930--933}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168787}, doi = {10.1109/ISCAS.2015.7168787}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NwankpaJJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OborilEKT15, author = {Fabian Oboril and Mojtaba Ebrahimi and Saman Kiamehr and Mehdi Baradaran Tahoori}, title = {Cross-layer resilient system design flow}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2457--2460}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169182}, doi = {10.1109/ISCAS.2015.7169182}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OborilEKT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OhK15, author = {Junghoon Oh and Mineo Kaneko}, title = {Automated selection of check variables for area-efficient soft-error tolerant datapath synthesis}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {49--52}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168567}, doi = {10.1109/ISCAS.2015.7168567}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OhK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OiUN15, author = {Kosuke Oi and Yoko Uwate and Yoshifumi Nishio}, title = {Synchronization and clustering in coupled parametrically excited oscillators with small mismatch}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {910--913}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168782}, doi = {10.1109/ISCAS.2015.7168782}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OiUN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OkadaO15, author = {Yuki Okada and Takashi Oshima}, title = {17-MS/s 9-bit cyclic {ADC} with gain-assisted {MDAC} and attenuation-based calibration}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1254--1257}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168868}, doi = {10.1109/ISCAS.2015.7168868}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OkadaO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OlmB15, author = {Josep M. Olm and Domingo Biel}, title = {Exact inversion with a boost {DC/AC} power converter}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {866--869}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168771}, doi = {10.1109/ISCAS.2015.7168771}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OlmB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OneteO15, author = {Cristian E. Onete and Maria Cristina C. Onete}, title = {Building hamiltonian networks using the cycles laplacian of the underlying graph}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {145--148}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168591}, doi = {10.1109/ISCAS.2015.7168591}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OneteO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OrchardLPFBG15, author = {Garrick Orchard and Xavier Lagorce and Christoph Posch and Steve B. Furber and Ryad Benosman and Francesco Galluppi}, title = {Live demonstration: Real-time event-driven object recognition on SpiNNaker}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1903}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169036}, doi = {10.1109/ISCAS.2015.7169036}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OrchardLPFBG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OrchardLPFBG15a, author = {Garrick Orchard and Xavier Lagorce and Christoph Posch and Steve B. Furber and Ryad Benosman and Francesco Galluppi}, title = {Real-time event-driven spiking neural network object recognition on the SpiNNaker platform}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2413--2416}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169171}, doi = {10.1109/ISCAS.2015.7169171}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OrchardLPFBG15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Paasio15, author = {Ari Paasio}, title = {Local asymmetric propagation stopper circuit for asynchronous binary wave computing}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1334--1337}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168888}, doi = {10.1109/ISCAS.2015.7168888}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Paasio15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PalaCVRTGZ15, author = {D. Pala and Giovanni Causapruno and Marco Vacca and Fabrizio Riente and Giovanna Turvani and Mariagrazia Graziano and Maurizio Zamboni}, title = {Logic-in-Memory architecture made real}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1542--1545}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168940}, doi = {10.1109/ISCAS.2015.7168940}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PalaCVRTGZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PalaniappanMKS15, author = {Arjun Ramaswami Palaniappan and Dominic Maurath and Felix Kalathiparambil and Liter Siek}, title = {A higher order curvature corrected 2 ppm/{\textdegree}C {CMOS} voltage reference circuit}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {505--508}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168681}, doi = {10.1109/ISCAS.2015.7168681}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PalaniappanMKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PanMLB15, author = {Jeng{-}Shyang Pan and Pramod Kumar Meher and Chiou{-}Yng Lee and Hong{-}Hai Bai}, title = {Efficient subquadratic parallel multiplier based on modified {SPB} of GF(2\({}^{\mbox{m}}\))}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1430--1433}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168912}, doi = {10.1109/ISCAS.2015.7168912}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PanMLB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Pandita15, author = {Bupesh Pandita}, title = {Delay calibration circuit for delay lines}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {850--853}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168767}, doi = {10.1109/ISCAS.2015.7168767}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Pandita15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParchamiZC15, author = {Mahdi Parchami and Wei{-}Ping Zhu and Beno{\^{\i}}t Champagne}, title = {A new algorithm for noise {PSD} matrix estimation in multi-microphone speech enhancement based on recursive smoothing}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {429--432}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168662}, doi = {10.1109/ISCAS.2015.7168662}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParchamiZC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Parfieniuk15, author = {Marek Parfieniuk}, title = {Using the {CS} decomposition to compute the 8-point {DCT}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2836--2839}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169277}, doi = {10.1109/ISCAS.2015.7169277}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Parfieniuk15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParhiKP15, author = {Rahul Parhi and Chris H. Kim and Keshab K. Parhi}, title = {Fault-tolerant ripple-carry binary adder using partial triple modular redundancy {(PTMR)}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {41--44}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168565}, doi = {10.1109/ISCAS.2015.7168565}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParhiKP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkBJLJKJ15, author = {Kwanseo Park and Woo{-}Rham Bae and Haram Ju and Jinhyung Lee and Gyu{-}Seob Jeong and Yoonsoo Kim and Deog{-}Kyoon Jeong}, title = {A 10 Gb/s hybrid PLL-based forwarded clock receiver in 65-nm {CMOS}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2389--2392}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169165}, doi = {10.1109/ISCAS.2015.7169165}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkBJLJKJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkKKKJ15, author = {Jun{-}Eun Park and Yoonsoo Kim and Sungwoo Kim and Gyungock Kim and Deog{-}Kyoon Jeong}, title = {20-Gb/s 3.6-VPP-swing source-series-terminated driver with 2-Tap {FFE} in 65-nm {CMOS}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2864--2867}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169284}, doi = {10.1109/ISCAS.2015.7169284}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkKKKJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PassosKGFFRCF15, author = {F{\'{a}}bio Passos and Mouna Kotti and Reinier Gonzalez{-}Echevarria and M. Helena Fino and Mourad Fakhfakh and Elisenda Roca and Rafael Castro{-}L{\'{o}}pez and Francisco V. Fern{\'{a}}ndez}, title = {Physical vs. surrogate models of passive {RF} devices}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {117--120}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168584}, doi = {10.1109/ISCAS.2015.7168584}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PassosKGFFRCF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PayandehniaYMYT15, author = {Pedram Payandehnia and Ali Fazli Yeknami and Xin Meng and Chao Yang and Gabor C. Temes}, title = {A passive {CMOS} low-pass filter for high speed and high {SNDR} applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {285--288}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168626}, doi = {10.1109/ISCAS.2015.7168626}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PayandehniaYMYT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PayvandMLGRCST15, author = {Melika Payvand and Advait Madhavan and Miguel Angel Lastras{-}Monta{\~{n}}o and Amirali Ghofrani and Justin Rofeh and Kwang{-}Ting Cheng and Dmitri B. Strukov and Luke Theogarajan}, title = {A configurable {CMOS} memory platform for 3D-integrated memristors}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1378--1381}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168899}, doi = {10.1109/ISCAS.2015.7168899}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PayvandMLGRCST15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Pedroni15, author = {Volnei A. Pedroni}, title = {XOR-decomposition principle and its use to build a glitch-free maximum-speed arbitrary binary waveform generator and deglitcher}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1834--1837}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169013}, doi = {10.1109/ISCAS.2015.7169013}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Pedroni15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Pedroni15a, author = {Volnei A. Pedroni}, title = {Introducing deglitched-feedback plus convergent encoding for straight hardware implementation of asynchronous finite state machines}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2345--2348}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169154}, doi = {10.1109/ISCAS.2015.7169154}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Pedroni15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PeiH15, author = {Soo{-}Chang Pei and Yu{-}Zhe Hsiao}, title = {Spatial Affine transformations of images by using fractional shift fourier transform}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1586--1589}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168951}, doi = {10.1109/ISCAS.2015.7168951}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PeiH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PeizeratGBDJSGB15, author = {Arnaud Peizerat and Fadoua Guezzi Messaoud and Michele Benetti and Antoine Dupret and Remi Jalby and Leonardo Bruno de S{\'{a}} and William Guicquero and Yves Blanchard}, title = {A 3T or 4T pixel compatible {DR} extension technique suitable for 3D-IC imagers: {A} 800{\texttimes}512 and 5{\(\mu\)}m pixel pitch 2D demonstrator}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1094--1097}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168828}, doi = {10.1109/ISCAS.2015.7168828}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PeizeratGBDJSGB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PendyalaP15, author = {Prateek Pendyala and Vijaya Sankara Rao Pasupureddi}, title = {{MIL-STD-1553+:} Integrated remote terminal and bus controller at 100-Mb/s data rate}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1842--1845}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169015}, doi = {10.1109/ISCAS.2015.7169015}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PendyalaP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PereiraVLSF15, author = {Marco Silva Pereira and Jo{\~{a}}o Caldinhas Vaz and Carlos Azeredo Leme and Jos{\'{e}} T. de Sousa and Jo{\~{a}}o Costa Freire}, title = {An ultra-low power low-IF {GFSK} demodulator for Bluetooth-LE applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1226--1229}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168861}, doi = {10.1109/ISCAS.2015.7168861}, timestamp = {Wed, 09 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PereiraVLSF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PettenghiS15, author = {H{\'{e}}ctor Pettenghi and Leonel Sousa}, title = {{RNS} reverse converters based on the new Chinese Remainder Theorem {I}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {830--833}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168762}, doi = {10.1109/ISCAS.2015.7168762}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PettenghiS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PezzottaCCMMGB15, author = {Alessandro Pezzotta and G. Corradi and G. Croci and Marcello De Matteis and Fabrizio Murtas and Giuseppe Gorini and Andrea Baschirotto}, title = {{GEMINI:} {A} triple-GEM detector read-out mixed-signal {ASIC} in 180nm {CMOS}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1718--1721}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168984}, doi = {10.1109/ISCAS.2015.7168984}, timestamp = {Wed, 11 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PezzottaCCMMGB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PipinoLWB15, author = {Alessandra Pipino and Antonio Liscidini and Karen Wan and Andrea Baschirotto}, title = {Bluetooth low energy receiver system design}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {465--468}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168671}, doi = {10.1109/ISCAS.2015.7168671}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PipinoLWB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PoXFLCC15, author = {Lai{-}Man Po and Xuyuan Xu and Litong Feng and Yuming Li and Kwok{-}Wai Cheung and Chun{-}Ho Cheung}, title = {Frame adaptive {ROI} for photoplethysmography signal extraction from fingertip video captured by smartphone}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1634--1637}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168963}, doi = {10.1109/ISCAS.2015.7168963}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PoXFLCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PoikonenLLK15, author = {Jussi H. Poikonen and Eero Lehtonen and Mika Laiho and Timo Knuutila}, title = {Implementation of nondeterministic finite automata in an autoassociative {CAM} circuit}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1342--1345}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168890}, doi = {10.1109/ISCAS.2015.7168890}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PoikonenLLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PourmodhejiGM15, author = {Hossein Pourmodheji and Ebrahim Ghafar{-}Zadeh and Sebastian Magierowski}, title = {Active nuclear magnetic resonance probe: {A} new multidiciplinary approach toward highly sensitive biomolecoular spectroscopy}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {473--476}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168673}, doi = {10.1109/ISCAS.2015.7168673}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PourmodhejiGM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PovoaLHG15, author = {Ricardo Povoa and Nuno Louren{\c{c}}o and Nuno Horta and Jo{\~{a}}o Goes}, title = {A voltage-combiners-biased amplifier with enhanced gain and speed using current starving}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2069--2072}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169085}, doi = {10.1109/ISCAS.2015.7169085}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PovoaLHG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PrabhuRRE15, author = {Hemanth Prabhu and Fredrik Rusek and Joachim Neves Rodrigues and Ove Edfors}, title = {High throughput constant envelope pre-coder for massive {MIMO} systems}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1502--1505}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168930}, doi = {10.1109/ISCAS.2015.7168930}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PrabhuRRE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PrivatC15, author = {Aymeric Privat and Lawrence T. Clark}, title = {Simple and accurate single event charge collection macro modeling for circuit simulation}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1858--1861}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169019}, doi = {10.1109/ISCAS.2015.7169019}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PrivatC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PsychogiouPLG15, author = {Dimitra Psychogiou and Dimitrios Peroulis and Raul Loeches{-}Sanchez and Roberto G{\'{o}}mez{-}Garc{\'{\i}}a}, title = {Analog signal-interference narrow-band bandpass filters with hybrid transmission-line/SAW-resonator transversal filtering sections}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {281--284}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168625}, doi = {10.1109/ISCAS.2015.7168625}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PsychogiouPLG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PulkkinenAH15, author = {Mika Pulkkinen and Lasse Aaltonen and Kari Halonen}, title = {{SPI} interface, mux-based synchronizer and {DSP} unit for a MEMS-based accelerometer}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {453--456}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168668}, doi = {10.1109/ISCAS.2015.7168668}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PulkkinenAH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/QiuTZS15, author = {Lei Qiu and Kai Tang and Yuanjin Zheng and Liter Siek}, title = {A digital time skew calibration technique for time-interleaved ADCs}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2297--2300}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169142}, doi = {10.1109/ISCAS.2015.7169142}, timestamp = {Tue, 11 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/QiuTZS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/QuangRA15, author = {Kien Trinh Quang and Sergio Ruocco and Massimo Alioto}, title = {Modeling the impact of dynamic voltage scaling on 1T-1J {STT-RAM} write energy and performance}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2313--2316}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169146}, doi = {10.1109/ISCAS.2015.7169146}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/QuangRA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Queiroz15, author = {Ant{\^{o}}nio Carlos M. de Queiroz}, title = {Analysis of the operation of a regenerative electrostatic energy harvester}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1074--1077}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168823}, doi = {10.1109/ISCAS.2015.7168823}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Queiroz15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RajeshP15, author = {Naga Rajesh and Shanthi Pavan}, title = {Programmable analog pulse shaping for ultra-wideband applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {461--464}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168670}, doi = {10.1109/ISCAS.2015.7168670}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RajeshP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Razavi15, author = {Behzad Razavi}, title = {The future of radios}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168556}, doi = {10.1109/ISCAS.2015.7168556}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Razavi15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RehmanS15, author = {Sami Ur Rehman and Ayman Shabra}, title = {Reference-less {SAR} {ADC} for on-chip thermal monitoring in {CMOS}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2441--2444}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169178}, doi = {10.1109/ISCAS.2015.7169178}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RehmanS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RezazadehReyhani15, author = {Ahmad RezazadehReyhani and Chetan Jayanthmurthy and Bill Gillman and Jeffrey S. Walling and John Belz and Behrouz Farhang{-}Boroujeny}, title = {An analog adaptive notch filter based on the noise cancellation principle}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2660--2663}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169233}, doi = {10.1109/ISCAS.2015.7169233}, timestamp = {Mon, 07 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RezazadehReyhani15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RichesJ15, author = {S. Riches and Colin Johnston}, title = {Electronics design, assembly and reliability for high temperature applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1158--1161}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168844}, doi = {10.1109/ISCAS.2015.7168844}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RichesJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Rios-NavarroCDJ15, author = {Antonio Rios{-}Navarro and Elena Cerezuela{-}Escudero and Manuel Dom{\'{\i}}nguez{-}Morales and Angel Jim{\'{e}}nez{-}Fernandez and Gabriel Jim{\'{e}}nez{-}Moreno and Alejandro Linares{-}Barranco}, title = {Live demonstration: Real-time motor rotation frequency detection by spike-based visual and auditory {AER} sensory integration for {FPGA}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1907}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169040}, doi = {10.1109/ISCAS.2015.7169040}, timestamp = {Wed, 08 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Rios-NavarroCDJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RitterLO15, author = {Rudolf Ritter and Matthias Lorenz and Maurits Ortmanns}, title = {Anti-aliasing filter improvement in continuous-time feedback sigma-delta modulators}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {325--328}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168636}, doi = {10.1109/ISCAS.2015.7168636}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RitterLO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RodriguezVCPTR15, author = {Alfonso Rodr{\'{\i}}guez and Juan Valverde and Cesar Castanares and Jorge Portilla and Eduardo de la Torre and Teresa Riesgo}, title = {Live demonstration: {A} dynamically adaptable image processing application running in an FPGA-based {WSN} platform}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1902}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169035}, doi = {10.1109/ISCAS.2015.7169035}, timestamp = {Tue, 03 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RodriguezVCPTR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RoeberBFW15, author = {Juergen Roeber and Andreas Baenisch and Georg Fischer and Robert Weigel}, title = {A low noise amplifier chain for digital satellite radio applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2477--2480}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169187}, doi = {10.1109/ISCAS.2015.7169187}, timestamp = {Thu, 02 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RoeberBFW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RongWT15, author = {Zhihai Rong and Zhi{-}Xi Wu and Chi Kong Tse}, title = {Community structure promotes the emergence of persistence behavior in social networks}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {898--901}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168779}, doi = {10.1109/ISCAS.2015.7168779}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RongWT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RossiSOR15, author = {F{\'{a}}bio Diniz Rossi and Mauro Storch and Israel C. De Oliveira and C{\'{e}}sar A. F. De Rose}, title = {Modeling power consumption for {DVFS} policies}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1879--1882}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169024}, doi = {10.1109/ISCAS.2015.7169024}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RossiSOR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RovereBIM15, author = {Giovanni Rovere and Chiara Bartolozzi and Nabil Imam and Rajit Manohar}, title = {Design of a {QDI} asynchronous {AER} serializer/deserializer link in 180nm for event-based sensors for robotic applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2712--2715}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169246}, doi = {10.1109/ISCAS.2015.7169246}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RovereBIM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RzepaGKG15, author = {Gerhard Rzepa and Wolfgang Goes and Ben Kaczer and Tibor Grasser}, title = {Characterization and modeling of reliability issues in nanoscale devices}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2445--2448}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169179}, doi = {10.1109/ISCAS.2015.7169179}, timestamp = {Thu, 13 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RzepaGKG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SaadehKM15, author = {Wala Saadeh and Yonatan Kifle and Jerald Yoo}, title = {A hybrid {OFDM} body coupled communication transceiver for binaural hearing aids in 65nm {CMOS}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2620--2623}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169223}, doi = {10.1109/ISCAS.2015.7169223}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SaadehKM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SadollahiT15, author = {Mahmoud Sadollahi and Gabor C. Temes}, title = {Two-stage {\(\Delta\)}{\(\Sigma\)} {ADC} with noise-coupled VCO-based quantizer}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {305--308}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168631}, doi = {10.1109/ISCAS.2015.7168631}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SadollahiT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SadreazamiAS15, author = {Hamidreza Sadreazami and M. Omair Ahmad and M. N. Shanmukha Swamy}, title = {Despeckling of synthetic aperture radar images in the contourlet domain using the alpha-stable distribution}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {121--124}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168585}, doi = {10.1109/ISCAS.2015.7168585}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SadreazamiAS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SadreazamiAS15a, author = {Hamidreza Sadreazami and M. Omair Ahmad and M. N. Shanmukha Swamy}, title = {Optimum multiplicative watermark detector in contourlet domain using the normal inverse Gaussian distribution}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1050--1053}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168817}, doi = {10.1109/ISCAS.2015.7168817}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SadreazamiAS15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SadreazamiAS15b, author = {Hamidreza Sadreazami and M. Omair Ahmad and M. N. Shanmukha Swamy}, title = {Image denoising utilizing the scale-dependency in the contourlet domain}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2149--2152}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169105}, doi = {10.1109/ISCAS.2015.7169105}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SadreazamiAS15b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SalahI15, author = {Khaled Salah and Yehea I. Ismail}, title = {Design of adiabatic TSV, {SWCNT} TSV, and Air-Gap Coaxial {TSV}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1953--1956}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169056}, doi = {10.1109/ISCAS.2015.7169056}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SalahI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SaldanhaSZPA15, author = {M{\'{a}}rio Saldanha and Gustavo Sanchez and Bruno Zatt and Marcelo Schiavon Porto and Luciano Volcan Agostini}, title = {Complexity reduction for the 3D-HEVC depth maps coding}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {621--624}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168710}, doi = {10.1109/ISCAS.2015.7168710}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SaldanhaSZPA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SalemM15, author = {Loai G. Salem and Patrick P. Mercier}, title = {A footprint-constrained efficiency roadmap for on-chip switched-capacitor {DC-DC} converters}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2321--2324}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169148}, doi = {10.1109/ISCAS.2015.7169148}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SalemM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SalomaaPHNH15, author = {Jarno Salomaa and Mika Pulkkinen and Tuomas Haapala and Marko Nurmi and Kari Halonen}, title = {Power management system for ultra-low power energy harvesting applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1086--1089}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168826}, doi = {10.1109/ISCAS.2015.7168826}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SalomaaPHNH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SalvoVTCBGP15, author = {Barbara De Salvo and Elisa Vianello and Olivier Thomas and Fabien Clermidy and Olivier Bichler and Christian Gamrat and Luca Perniola}, title = {Emerging resistive memories for low power embedded applications and neuromorphic systems}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {3088--3091}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169340}, doi = {10.1109/ISCAS.2015.7169340}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SalvoVTCBGP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SamarahC15, author = {Amer Samarah and Anthony Chan Carusone}, title = {Multi-phase bang-bang digital phase lock loop with accelerated frequency acquisition}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {545--548}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168691}, doi = {10.1109/ISCAS.2015.7168691}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SamarahC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SanaullahC15, author = {Muhammad Sanaullah and Masud H. Chowdhury}, title = {Multilayer molybdenum disulfide (MoS2) based tunnel transistor}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1929--1932}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169050}, doi = {10.1109/ISCAS.2015.7169050}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SanaullahC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Sanchez-Azqueta15, author = {Carlos S{\'{a}}nchez{-}Azqueta and Javier Aguirre and Cecilia Gimeno and Concepci{\'{o}}n Aldea and Santiago Celma}, title = {A 1.7-GHz wide-band {CMOS} {LC-VCO} with 7-Bit coarse control}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {3060--3063}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169333}, doi = {10.1109/ISCAS.2015.7169333}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Sanchez-Azqueta15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SandriniDTSL15, author = {Jury Sandrini and Tugba Demirci and Maxime Thammasack and Davide Sacchetto and Yusuf Leblebici}, title = {Low-voltage read/write circuit design for transistorless ReRAM crossbar arrays in 180nm {CMOS} technology}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {9--12}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168557}, doi = {10.1109/ISCAS.2015.7168557}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SandriniDTSL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SantarcangeloZ15, author = {Joseph Santarcangelo and Xiao{-}Ping (Steven) Zhang}, title = {Kernel-based mixture of experts models for linear regression}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1526--1529}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168936}, doi = {10.1109/ISCAS.2015.7168936}, timestamp = {Wed, 11 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SantarcangeloZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SanttiPLLP15, author = {Tero S{\"{a}}ntti and Jonne K. Poikonen and Olli Lahdenoja and Mika Laiho and Ari Paasio}, title = {Online seam tracking for laser welding with a vision chip and {FPGA} enabled camera system}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1985--1988}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169064}, doi = {10.1109/ISCAS.2015.7169064}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SanttiPLLP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SatoT15, author = {Hiroki Sato and Shigetaka Takagi}, title = {Low-voltage amplifier with improved linearity using triode region {MOSFET}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2469--2472}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169185}, doi = {10.1109/ISCAS.2015.7169185}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SatoT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SawabyME15, author = {Mahmoud Sawaby and Ahmed Nader Mohieldin and Ahmed Eladawy}, title = {Design and optimization of a 94GHz rotary traveling wave oscillator for mm-wave applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2844--2847}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169279}, doi = {10.1109/ISCAS.2015.7169279}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SawabyME15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SchlachterCEP15, author = {Jeremy Schlachter and Vincent Camus and Christian C. Enz and Krishna V. Palem}, title = {Automatic generation of inexact digital circuits by gate-level pruning}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {173--176}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168598}, doi = {10.1109/ISCAS.2015.7168598}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SchlachterCEP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SchmitzGBHWS15, author = {Joseph A. Schmitz and Mahir Kabeer Gharzai and Sina Balkir and Michael W. Hoffman and Daniel J. White and Nathan Schemm}, title = {A programmable vision chip with pixel-neighborhood level parallel processing}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2125--2128}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169099}, doi = {10.1109/ISCAS.2015.7169099}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SchmitzGBHWS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SchoberC15, author = {Susan M. Schober and John Choma Jr.}, title = {A 1.25mW 0.8-28.2GHz charge pump {PLL} with 0.82ps {RMS} jitter in all-digital 40nm {CMOS}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {549--552}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168692}, doi = {10.1109/ISCAS.2015.7168692}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SchoberC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SeccoC15, author = {Jacopo Secco and Fernando Corinto}, title = {Memristor-based cellular nonlinear networks with belief propagation inspired algorithm}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1522--1525}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168935}, doi = {10.1109/ISCAS.2015.7168935}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SeccoC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Seguin-GodinMR15, author = {Guillaume Seguin{-}Godin and Fr{\'{e}}d{\'{e}}ric Mailhot and Jean Rouat}, title = {Live demonstration: Efficient event-driven approach using synchrony processing for hardware spiking neural networks}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1897}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169030}, doi = {10.1109/ISCAS.2015.7169030}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Seguin-GodinMR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Seguin-GodinMR15a, author = {Guillaume Seguin{-}Godin and Fr{\'{e}}d{\'{e}}ric Mailhot and Jean Rouat}, title = {Efficient event-driven approach using synchrony processing for hardware spiking neural networks}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2696--2699}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169242}, doi = {10.1109/ISCAS.2015.7169242}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Seguin-GodinMR15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SengerT15, author = {Vanessa Senger and Ronald Tetzlaff}, title = {Cellular nonlinear network-based signal prediction in epilepsy: Method comparison}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {397--400}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168654}, doi = {10.1109/ISCAS.2015.7168654}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SengerT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SerbRPBP15, author = {Alexantrou Serb and William Redman{-}White and Christos Papavassiliou and Radu Berdan and Themistoklis Prodromakis}, title = {Limitations and precision requirements for read-out of passive, linear, selectorless {RRAM} arrays}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {189--192}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168602}, doi = {10.1109/ISCAS.2015.7168602}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SerbRPBP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SerraSG15, author = {Hugo Serra and Rui Santos{-}Tavares and Jo{\~{a}}o Goes}, title = {Automatic design of high-order {SC} filter circuits}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1937--1940}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169052}, doi = {10.1109/ISCAS.2015.7169052}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SerraSG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Serrano-Gotarredona15, author = {Teresa Serrano{-}Gotarredona and Bernab{\'{e}} Linares{-}Barranco and Francesco Galluppi and Luis A. Plana and Stephen B. Furber}, title = {ConvNets experiments on SpiNNaker}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2405--2408}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169169}, doi = {10.1109/ISCAS.2015.7169169}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Serrano-Gotarredona15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShaarawyGR15, author = {Noha Shaarawy and Maged Ghoneima and Ahmed G. Radwan}, title = {2T2M memristor-based memory cell for higher stability {RRAM} modules}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1418--1421}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168909}, doi = {10.1109/ISCAS.2015.7168909}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShaarawyGR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShaddockY15, author = {David Shaddock and Liang Yin}, title = {High temperature electronics packaging: An overview of substrates for high temperature}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1166--1169}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168846}, doi = {10.1109/ISCAS.2015.7168846}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShaddockY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShahabuddinJKJG15, author = {Shahriar Shahabuddin and Janne Janhunen and Zaheer Khan and Markku J. Juntti and Amanullah Ghazi}, title = {A customized lattice reduction multiprocessor for {MIMO} detection}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2976--2979}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169312}, doi = {10.1109/ISCAS.2015.7169312}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ShahabuddinJKJG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShahdoostM15, author = {Shahab Shahdoost and Pedram Mohseni}, title = {An {FPGA} platform for generation of stimulus triggering based on intracortical spike activity in brain-machine-body interface {(BMBI)} applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1766--1769}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168996}, doi = {10.1109/ISCAS.2015.7168996}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShahdoostM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShahnazRFZA15, author = {Celia Shahnaz and R. H. Md. Rafi and Shaikh Anowarul Fattah and Wei{-}Ping Zhu and M. Omair Ahmad}, title = {Seizure detection exploiting EMD-wavelet analysis of {EEG} signals}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {57--60}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168569}, doi = {10.1109/ISCAS.2015.7168569}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShahnazRFZA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShahradS15, author = {Mohammad Shahrad and Mahdi Shabany}, title = {{TTCN:} {A} new approach for low-power split-row {LDPC} decoders}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2001--2004}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169068}, doi = {10.1109/ISCAS.2015.7169068}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ShahradS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShamsiAMAAA15, author = {Jafar Shamsi and Amirali Amirsoleimani and Sattar Mirzakuchaki and Arash Ahmadi and Shahpour Alirezaee and Majid Ahmadi}, title = {Hyperbolic tangent passive resistive-type neuron}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {581--584}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168700}, doi = {10.1109/ISCAS.2015.7168700}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShamsiAMAAA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShaoAYR15, author = {Kai Shao and Juuso Alhava and Juha Yli{-}Kaakinen and Markku Renfors}, title = {Fast-convolution implementation of filter bank multicarrier waveform processing}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {978--981}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168799}, doi = {10.1109/ISCAS.2015.7168799}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShaoAYR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShenRW15, author = {Yiyu Shen and Woogeun Rhee and Zhihua Wang}, title = {A digital power amplifier with FIR-embedded 1-Bit high-order {\(\Delta\)}{\(\Sigma\)} modulation for {WBAN} polar transmitters}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {662--665}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168720}, doi = {10.1109/ISCAS.2015.7168720}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShenRW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShenW15, author = {Yuxiang Shen and Xiaolin Wu}, title = {Down-sampling based embedded compression in video systems}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2736--2739}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169252}, doi = {10.1109/ISCAS.2015.7169252}, timestamp = {Thu, 09 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ShenW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShettyNRNSKR15, author = {Chirag Shetty and Sri Nitchith and Rishabh Rawat and S. R. Nandakumar and Pritesh Shah and Shruti R. Kulkarni and Bipin Rajendran}, title = {Live demonstration: Spiking neural circuit based navigation inspired by C. elegans thermotaxis}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1905}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169038}, doi = {10.1109/ISCAS.2015.7169038}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ShettyNRNSKR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShiPWS15, author = {Xingyi Shi and Aaron N. Parks and Benjamin H. Waters and Joshua R. Smith}, title = {Co-optimization of efficiency and load modulation data rate in a wireless power transfer system}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {698--701}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168729}, doi = {10.1109/ISCAS.2015.7168729}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ShiPWS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShiXMFG15, author = {Sheng Shi and Ruiqin Xiong and Siwei Ma and Xiaopeng Fan and Wen Gao}, title = {Image compressive sensing using overlapped block projection and reconstruction}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1670--1673}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168972}, doi = {10.1109/ISCAS.2015.7168972}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShiXMFG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShinozukaSFI15, author = {Yasuhiro Shinozuka and Kei Shiraishi and Masanori Furuta and Tetsuro Itakura}, title = {A single-slope based low-noise {ADC} with input-signal-dependent multiple sampling scheme for {CMOS} image sensors}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {357--360}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168644}, doi = {10.1109/ISCAS.2015.7168644}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShinozukaSFI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShiozakiKNTNF15, author = {Mitsuru Shiozaki and Takaya Kubota and Tsunato Nakai and Akihiro Takeuchi and Takashi Nishimura and Takeshi Fujino}, title = {Tamper-resistant authentication system with side-channel attack resistant {AES} and {PUF} using {MDR-ROM}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1462--1465}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168920}, doi = {10.1109/ISCAS.2015.7168920}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShiozakiKNTNF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShoaranTAL15, author = {Mahsa Shoaran and Armin Tajalli and Massimo Alioto and Yusuf Leblebici}, title = {Jitter analysis and measurement in subthreshold source-coupled differential ring oscillators}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {157--160}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168594}, doi = {10.1109/ISCAS.2015.7168594}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShoaranTAL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShresthaZGW15, author = {Ravi Shrestha and Xuechao Zhang and Ziad Gias and Khan A. Wahid}, title = {Adaptive illumination in wireless capsule endoscopy system}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {778--781}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168749}, doi = {10.1109/ISCAS.2015.7168749}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ShresthaZGW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SiemonMCWL15, author = {Anne Siemon and Stephan Menzel and Anupam Chattopadhyay and Rainer Waser and Eike Linn}, title = {In-memory adder functionality in 1S1R arrays}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1338--1341}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168889}, doi = {10.1109/ISCAS.2015.7168889}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SiemonMCWL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SieversAKFJKP015, author = {Gregor Sievers and Johannes Ax and Nils Kucza and Martin Flasskamp and Thorsten Jungeblut and Wayne Kelly and Mario Porrmann and Ulrich R{\"{u}}ckert}, title = {Evaluation of interconnect fabrics for an embedded MPSoC in 28 nm {FD-SOI}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1925--1928}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169049}, doi = {10.1109/ISCAS.2015.7169049}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SieversAKFJKP015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SilvaQLC15, author = {Gabriel S. da Silva and Augusto F. R. Queiroz and Eduardo R. de Lima and Cesar G. Chaves}, title = {A novel fine frequency estimation serial architecture applied in satellite communications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2173--2176}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169111}, doi = {10.1109/ISCAS.2015.7169111}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SilvaQLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SilveiraPAZAP15, author = {Dieison Silveira and Guilherme Povala and L{\'{\i}}via Amaral and Bruno Zatt and Luciano Volcan Agostini and Marcelo Schiavon Porto}, title = {A real-time architecture for reference frame compression for high definition video coders}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {842--845}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168765}, doi = {10.1109/ISCAS.2015.7168765}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SilveiraPAZAP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SiqueiraAB15, author = {Daniel S. Siqueira and Lu{\'{\i}}s F. C. Alberto and Newton G. Bretas}, title = {Generalized energy functions for a class of lossy networking preserving power system models}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {926--929}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168786}, doi = {10.1109/ISCAS.2015.7168786}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SiqueiraAB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SmailiLM15, author = {Sami Smaili and Shuang Li and Yehia Massoud}, title = {A design methodology for minimizing power loss in integrated {DC-DC} converter with spiral inductors}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2317--2320}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169147}, doi = {10.1109/ISCAS.2015.7169147}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SmailiLM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SmirnovaPU15, author = {Vera Smirnova and Anton V. Proskurnikov and Natalia V. Utina}, title = {Cycle slipping in nonlinear circuits under periodic nonlinearities and time delays}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {3084--3087}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169339}, doi = {10.1109/ISCAS.2015.7169339}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SmirnovaPU15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Sobot15, author = {Robert Sobot}, title = {Practical considerations in {VLSI} {IC} design flow with respect to tool limitations}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1558--1561}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168944}, doi = {10.1109/ISCAS.2015.7168944}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Sobot15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SonJNYS15, author = {Suho Son and Shiwon Jeon and Seol Namgung and Jieun Yoo and Minkyu Song}, title = {A one-shot digital correlated double sampling with a differential difference amplifier for a high speed {CMOS} image sensor}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1054--1057}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168818}, doi = {10.1109/ISCAS.2015.7168818}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SonJNYS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SonLK15, author = {Kyung{-}Sub Son and Kyongsu Lee and Jin{-}Ku Kang}, title = {On-chip jitter tolerance measurement technique for {CDR} circuits}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1602--1605}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168955}, doi = {10.1109/ISCAS.2015.7168955}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SonLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SongXMFG15, author = {Qiang Song and Ruiqin Xiong and Siwei Ma and Xiaopeng Fan and Wen Gao}, title = {High accuracy sub-pixel image registration under noisy condition}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1674--1677}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168973}, doi = {10.1109/ISCAS.2015.7168973}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SongXMFG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Sotiriadis15, author = {Paul P. Sotiriadis}, title = {Spurs-free single-bit-output frequency synthesizers for fully-digital {RF} transmitters}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2561--2564}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169208}, doi = {10.1109/ISCAS.2015.7169208}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Sotiriadis15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SotnerJPPVKD15, author = {Roman Sotner and Jan Jerabek and Jiri Petrzela and Roman Prokop and Kamil Vrba and Aslihan Kartci and Tom{\'{a}}s Dost{\'{a}}l}, title = {Quadrature oscillator solution suitable with arbitrary and electronically adjustable phase shift}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {3056--3059}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169332}, doi = {10.1109/ISCAS.2015.7169332}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SotnerJPPVKD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SotoR15, author = {Manuel Porcel de Soto and Jos{\'{e}} M. de la Rosa}, title = {Simulation-based comparison of CNT-FETs and G-FETs from a circuit designer's perspective}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {105--108}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168581}, doi = {10.1109/ISCAS.2015.7168581}, timestamp = {Mon, 07 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SotoR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SpulberCPABMG15, author = {Irina Spulber and Y.{-}M. Chen and Enrica Papi and Salzitsa Anastasova{-}Ivanova and Jeroen Bergmann and Alison H. McGregor and Pantelis Georgiou}, title = {Live demonstration: Wearable electronics for a smart garment aiding rehabilitation}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1912}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169045}, doi = {10.1109/ISCAS.2015.7169045}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SpulberCPABMG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SrivastavaCAB15, author = {Abhishek Srivastava and Baibhab Chatterjee and Vineeth Anavangot and Maryam Shojaei Baghini}, title = {A novel {FM/FSK} based receiver front-end for MedRadio spectrum in 401-406 MHz band}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {774--777}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168748}, doi = {10.1109/ISCAS.2015.7168748}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SrivastavaCAB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SrivastavaHDB15, author = {Saket Srivastava and Mohammad S. Hashmi and Supratim Das and Dibakar Barua}, title = {Real-time blind spectrum sensing using {USRP}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {986--989}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168801}, doi = {10.1109/ISCAS.2015.7168801}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SrivastavaHDB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/StoicaSBRBWRW15, author = {Lucian{-}Vasile Stoica and Valentyn Solomko and Thorsten Baumheinrich and Renato Del Regno and Reece Beigh and I. White and Geoff Rickard and Paul Williams}, title = {Design of a frequency signal conditioning unit applied to rotating systems in high temperature aero engine control}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1154--1157}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168843}, doi = {10.1109/ISCAS.2015.7168843}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/StoicaSBRBWRW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/StoppaDC15, author = {Matteo Stoppa and Danilo Demarchi and Marco Crepaldi}, title = {Live demonstration: An ultra-low power {PFM} {IR-UWB} system for short-range audio streaming}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1896}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169029}, doi = {10.1109/ISCAS.2015.7169029}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/StoppaDC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/StromatiasNGPLF15, author = {Evangelos Stromatias and Daniel Neil and Francesco Galluppi and Michael Pfeiffer and Shih{-}Chii Liu and Steve B. Furber}, title = {Live demonstration: Handwritten digit recognition using spiking deep belief networks on SpiNNaker}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1901}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169034}, doi = {10.1109/ISCAS.2015.7169034}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/StromatiasNGPLF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SuGCW15, author = {Shaojie Su and Jiyang Gao and Hong Chen and Zhihua Wang}, title = {Design of a computer-aided visual system for Total Hip Replacement surgery}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {786--789}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168751}, doi = {10.1109/ISCAS.2015.7168751}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SuGCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SuarezBFCCR15, author = {Manuel Suarez and V{\'{\i}}ctor M. Brea and Jorge Fern{\'{a}}ndez{-}Berni and Ricardo Carmona{-}Gal{\'{a}}n and Diego Cabello and {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez}, title = {Live demonstration: Gaussian pyramid extraction with a {CMOS} vision sensor}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1899}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169032}, doi = {10.1109/ISCAS.2015.7169032}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SuarezBFCCR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SunAC15, author = {Yin Sun and Victor Adrian and Joseph S. Chang}, title = {Design of a variable-delay window {ADC} for switched-mode {DC-DC} converters}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1642--1645}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168965}, doi = {10.1109/ISCAS.2015.7168965}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SunAC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SunK15, author = {Yanan Sun and Volkan Kursun}, title = {Carbon-based sleep switch dynamic logic circuits with variable strength keeper for lower-leakage currents and higher-speed}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2720--2723}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169248}, doi = {10.1109/ISCAS.2015.7169248}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SunK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SutulaDTS15, author = {Stepan Sutula and Michele Dei and Llu{\'{\i}}s Ter{\'{e}}s and Francisco Serra{-}Graells}, title = {Class-AB single-stage OpAmp for low-power switched-capacitor circuits}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2081--2084}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169088}, doi = {10.1109/ISCAS.2015.7169088}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SutulaDTS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SyllaiosJ15, author = {Ioannis L. Syllaios and Henrik T. Jensen}, title = {{DPLL} with hybrid {\(\Delta\)}{\(\Sigma\)} phase/frequency detector}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2569--2572}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169210}, doi = {10.1109/ISCAS.2015.7169210}, timestamp = {Fri, 08 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SyllaiosJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SzilagyiBHE15, author = {L{\'{a}}szl{\'{o}} Szil{\'{a}}gyi and Guido Belfiore and Ronny Henker and Frank Ellinger}, title = {A high-voltage {DC} bias architecture implementation in a 17 Gbps low-power common-cathode {VCSEL} driver in 80 nm {CMOS}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2385--2388}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169164}, doi = {10.1109/ISCAS.2015.7169164}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SzilagyiBHE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TangJP15, author = {Hoyoung Tang and Gihoon Jung and Jongsun Park}, title = {A hybrid multimode {BCH} encoder architecture for area efficient re-encoding approach}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1997--2000}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169067}, doi = {10.1109/ISCAS.2015.7169067}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TangJP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TangW15, author = {Minhao Tang and Jiangtao Wen}, title = {An efficient {HEVC} to {H.264/AVC} transcoding system}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2748--2751}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169255}, doi = {10.1109/ISCAS.2015.7169255}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TangW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Tanzawa15, author = {Toru Tanzawa}, title = {An analytical model of multi-sine {AC-DC} voltage multiplier}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1354--1357}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168893}, doi = {10.1109/ISCAS.2015.7168893}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Tanzawa15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Tanzawa15a, author = {Toru Tanzawa}, title = {A comprehensive optimization methodology for designing charge pump voltage multipliers}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1358--1361}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168894}, doi = {10.1109/ISCAS.2015.7168894}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Tanzawa15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TaoCR15, author = {Sha Tao and Jiazuo Chi and Ana Rusu}, title = {Design considerations for pipelined continuous-time incremental Sigma-Delta ADCs}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1014--1017}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168808}, doi = {10.1109/ISCAS.2015.7168808}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TaoCR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TaoK15, author = {Liang Tao and Hon Keung Kwan}, title = {Multi-window real-valued discrete Gabor transform for long and infinite sequences}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2604--2607}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169219}, doi = {10.1109/ISCAS.2015.7169219}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TaoK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Tavsanoglu15, author = {Vedat Tavsanoglu}, title = {A systematic approach to the time-domain computation of the impulse response and post-initial conditions of causal {LTI} systems at the origin}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1806--1809}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169006}, doi = {10.1109/ISCAS.2015.7169006}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Tavsanoglu15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TayC15, author = {Thian Fatt Tay and Chip{-}Hong Chang}, title = {A new unified modular adder/subtractor for arbitrary moduli}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {53--56}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168568}, doi = {10.1109/ISCAS.2015.7168568}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TayC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TayL15, author = {David B. H. Tay and Zhiping Lin}, title = {Graph {QMF} with flatness constraints}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2600--2603}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169218}, doi = {10.1109/ISCAS.2015.7169218}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TayL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TekesteBSKMAI15, author = {Temesghen Tekeste and Nourhan Bayasi and Hani H. Saleh and Ahsan Khandoker and Baker Mohammad and Mahmoud Al{-}Qutayri and Mohammed Ismail}, title = {Adaptive {ECG} interval extraction}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {998--1001}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168804}, doi = {10.1109/ISCAS.2015.7168804}, timestamp = {Tue, 07 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TekesteBSKMAI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Tena-SanchezA15, author = {Erica Tena{-}S{\'{a}}nchez and Antonio J. Acosta}, title = {{DPA} vulnerability analysis on Trivium stream cipher using an optimized power model}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1846--1849}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169016}, doi = {10.1109/ISCAS.2015.7169016}, timestamp = {Fri, 03 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Tena-SanchezA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TeradaYT15, author = {Kotaro Terada and Masao Yanagisawa and Nozomu Togawa}, title = {A floorplan-driven high-level synthesis algorithm with multiple-operation chainings based on path enumeration}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2129--2132}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169100}, doi = {10.1109/ISCAS.2015.7169100}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TeradaYT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ThirunarayananR15, author = {Raghavasimhan Thirunarayanan and David Ruffieux and Christian C. Enz}, title = {Enabling highly energy efficient {WSN} through PLL-free, fast wakeup radios}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2573--2576}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169211}, doi = {10.1109/ISCAS.2015.7169211}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ThirunarayananR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TillakY15, author = {Judyta Tillak and Jerald Yoo}, title = {A 23{\(\mu\)}W digitally controlled pMUT interface circuit for Doppler ultrasound Imaging}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1618--1621}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168959}, doi = {10.1109/ISCAS.2015.7168959}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TillakY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TrampitschKH15, author = {Stefan Trampitsch and Gerhard Knoblinger and Mario Huemer}, title = {Switched state-space model for a switched-capacitor power amplifier}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1478--1481}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168924}, doi = {10.1109/ISCAS.2015.7168924}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TrampitschKH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TranSDD15, author = {Vinh T. Tran and Nagaraj Channarayapatna Shivaramaiah and Oliver Diessel and Andrew G. Dempster}, title = {A programmable multi-GNSS baseband receiver}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1178--1181}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168849}, doi = {10.1109/ISCAS.2015.7168849}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TranSDD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TruongSSMCM15, author = {Son Ngoc Truong and SangHak Shin and JeaSang Song and Hyun{-}Sun Mo and Fernando Corinto and Kyeong{-}Sik Min}, title = {Memristor-based cellular nanoscale networks: Theory, circuits, and applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1134--1137}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168838}, doi = {10.1109/ISCAS.2015.7168838}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TruongSSMCM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiC15, author = {Tsung{-}Han Tsai and Chih{-}Hao Chang}, title = {Design for an intelligent surveillance system based on system-on-a-programmable-chip platform}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2049--2052}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169080}, doi = {10.1109/ISCAS.2015.7169080}, timestamp = {Wed, 12 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiCTLLLT15, author = {Tsung{-}Hsun Tsai and Ke{-}Horng Chen and Tsung{-}Yen Tsai and Jian{-}Ru Lin and Ying{-}Hsi Lin and Chao{-}Cheng Lee and Pei{-}Ling Tseng}, title = {99{\%} High accuracy knee voltage detection for primary-side control in flyback converter}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1754--1757}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168993}, doi = {10.1109/ISCAS.2015.7168993}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiCTLLLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiHCC15, author = {Pin Yi Tsai and Yarsun Hsu and Ching{-}Te Chiu and Tsai{-}Te Chu}, title = {Accelerating AdaBoost algorithm using {GPU} for multi-object recognition}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {738--741}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168739}, doi = {10.1109/ISCAS.2015.7168739}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiHCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiHSWC15, author = {Cheng{-}Rung Tsai and Ming{-}Chun Hsiao and Wen{-}Chung Shen and An{-}Yeu Andy Wu and Chen{-}Mou Cheng}, title = {A 1.96mm\({}^{\mbox{2}}\) low-latency multi-mode crypto-coprocessor for PKC-based IoT security protocols}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {834--837}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168763}, doi = {10.1109/ISCAS.2015.7168763}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiHSWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiHYY15, author = {Min{-}Jen Tsai and Chien{-}Lun Hsu and Jin{-}Sheng Yin and Imam Yuadi}, title = {Japanese character based printed source identification}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2800--2803}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169268}, doi = {10.1109/ISCAS.2015.7169268}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiHYY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiKCLWC15, author = {Wei{-}Hao Tsai and Che{-}Hsun Kuo and Soon{-}Jyh Chang and Li{-}Tse Lo and Ying{-}Cheng Wu and Chun{-}Jen Chen}, title = {A 10-bit 50-MS/s {SAR} {ADC} for dual-voltage domain portable systems}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2425--2428}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169174}, doi = {10.1109/ISCAS.2015.7169174}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiKCLWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsirimokouPE15, author = {Georgia Tsirimokou and Costas Psychalinos and Ahmed S. Elwakil}, title = {Digitally programmed fractional-order Chebyshev filters realizations using current-mirrors}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2337--2340}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169152}, doi = {10.1109/ISCAS.2015.7169152}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsirimokouPE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TuYOLW15, author = {Fengbin Tu and Shouyi Yin and Peng Ouyang and Leibo Liu and Shaojun Wei}, title = {Neural approximating architecture targeting multiple application domains}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2509--2512}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169195}, doi = {10.1109/ISCAS.2015.7169195}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TuYOLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TurcotteCKAG15, author = {Gabriel Gagnon{-}Turcotte and Charles{-}Olivier Dufresne Camaro and Alireza Avakh Kisomi and Reza Ameli and Benoit Gosselin}, title = {A wireless multichannel optogenetic headstage with on-the-fly spike detection}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1758--1761}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168994}, doi = {10.1109/ISCAS.2015.7168994}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TurcotteCKAG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/UbarKGD15, author = {Raimund Ubar and Jaak Kousaar and Maksim Gorev and Sergei Devadze}, title = {Combinational fault simulation in sequential circuits}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2876--2879}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169287}, doi = {10.1109/ISCAS.2015.7169287}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/UbarKGD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/UchigaitoMN15, author = {Hiroshi Uchigaito and Seiji Miura and Takumi Nito}, title = {A control scheme for eliminating garbage collection during highspeed analysis of big-graph data stored in {NAND} flash memory}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2557--2560}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169207}, doi = {10.1109/ISCAS.2015.7169207}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/UchigaitoMN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/UdayangaMWAB15, author = {Nilan Udayanga and Arjuna Madanayake and Chamith Wijenayake and Peyman Ahmadi and Leonid Belostotski}, title = {Tunable multiband {RF} {CMOS} active filter arrays}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1682--1685}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168975}, doi = {10.1109/ISCAS.2015.7168975}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/UdayangaMWAB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/UrumaKTF15, author = {Kazunori Uruma and Katsumi Konishi and Tomohiro Takahashi and Toshihiro Furukawa}, title = {Color image coding based on the colorization algorithm using multiple resolution images}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1290--1293}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168877}, doi = {10.1109/ISCAS.2015.7168877}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/UrumaKTF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VaisbandF15, author = {Boris Vaisband and Eby G. Friedman}, title = {3-D floorplanning algorithm to minimize thermal interactions}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2133--2136}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169101}, doi = {10.1109/ISCAS.2015.7169101}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VaisbandF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Vargas-SierraCR15, author = {Sonia Vargas{-}Sierra and Gustavo Li{\~{n}}{\'{a}}n Cembrano and {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez}, title = {Live demonstration: Real-time high dynamic range video acquisition using in-pixel adaptive content-aware tone mapping compression}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1900}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169033}, doi = {10.1109/ISCAS.2015.7169033}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Vargas-SierraCR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VashishthaGC15, author = {Vinay Vashishtha and Aditya Gujja and Lawrence T. Clark}, title = {Delay and power tradeoffs for static and dynamic register files}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2900--2903}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169293}, doi = {10.1109/ISCAS.2015.7169293}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VashishthaGC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Velasco-Jimenez15, author = {Manuel Velasco{-}Jimenez and Rafael Castro{-}L{\'{o}}pez and Elisenda Roca and Francisco V. Fern{\'{a}}ndez}, title = {Design space exploration using hierarchical composition of performance models}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1941--1944}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169053}, doi = {10.1109/ISCAS.2015.7169053}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Velasco-Jimenez15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VeltenKGB15, author = {J{\"{o}}rg Velten and Anton Kummert and Alexandros Gavriilidis and Fritz Boschen}, title = {2-D signal theoretic investigation of background elimination in visual tomographic reconstruction for safety and enabling health applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2377--2380}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169162}, doi = {10.1109/ISCAS.2015.7169162}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VeltenKGB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ViitanenKLVH15, author = {Marko Viitanen and Ari Koivula and Ari Lemmetti and Jarno Vanne and Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen}, title = {Kvazaar {HEVC} encoder for efficient intra coding}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1662--1665}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168970}, doi = {10.1109/ISCAS.2015.7168970}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ViitanenKLVH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VornicuCR15, author = {Ion Vornicu and Ricardo Carmona{-}Gal{\'{a}}n and {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez}, title = {On the calibration of a SPAD-based 3D imager with in-pixel {TDC} using a time-gated technique}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1102--1105}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168830}, doi = {10.1109/ISCAS.2015.7168830}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VornicuCR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VourkasSS15, author = {Ioannis Vourkas and Dimitrios Stathis and Georgios Ch. Sirakoulis}, title = {XbarSim: An educational simulation tool for memristive crossbar-based circuits}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1798--1801}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169004}, doi = {10.1109/ISCAS.2015.7169004}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VourkasSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VourkasSS15a, author = {Ioannis Vourkas and Dimitrios Stathis and Georgios Ch. Sirakoulis}, title = {Live demonstration: XbarSim: An educational simulation tool for memristive crossbar-based circuits}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1909}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169042}, doi = {10.1109/ISCAS.2015.7169042}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VourkasSS15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VrudhulaKY15, author = {Sarma B. K. Vrudhula and Niranjan Kulkarni and Jinghua Yang}, title = {Design of threshold logic gates using emerging devices}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {373--376}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168648}, doi = {10.1109/ISCAS.2015.7168648}, timestamp = {Thu, 26 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VrudhulaKY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VuCSFHW15, author = {Hong{-}Son Vu and Kuan{-}Hung Chen and Shih{-}Feng Sun and Tien{-}Mau Fong and Che{-}Wei Hsu and Lei Wang}, title = {A 6.42 mW low-power feed-forward FxLMS {ANC} {VLSI} design for in-ear headphones}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2585--2588}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169214}, doi = {10.1109/ISCAS.2015.7169214}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/VuCSFHW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WachterVM15, author = {Eduardo W{\"{a}}chter and Nicolas Ventroux and Fernando Gehm Moraes}, title = {A context saving fault tolerant approach for a shared memory many-core architecture}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1570--1573}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168947}, doi = {10.1109/ISCAS.2015.7168947}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WachterVM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WalshD15, author = {Declan Walsh and Piotr Dudek}, title = {An event-driven massively parallel fine-grained processor array}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1346--1349}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168891}, doi = {10.1109/ISCAS.2015.7168891}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WalshD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangBZ15, author = {Dali Wang and Ying Bai and Ali Zilouchian}, title = {Quantization error calculation of various realizations of 2-D separable-in-denominator recursive filters}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2189--2192}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169115}, doi = {10.1109/ISCAS.2015.7169115}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangBZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangC15, author = {Runsheng Wang and Yu Cao}, title = {Impact of temporal transistor variations on circuit reliability}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2453--2456}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169181}, doi = {10.1109/ISCAS.2015.7169181}, timestamp = {Thu, 15 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangCCH15, author = {Wei{-}Cheng Wang and Pau{-}Choo Chung and Hsin{-}Wei Cheng and Chun{-}Rong Huang}, title = {Trajectory kinematics descriptor for trajectory clustering in surveillance videos}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1198--1201}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168854}, doi = {10.1109/ISCAS.2015.7168854}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangCCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangCYLHP15, author = {Wei{-}Hsin Wang and Pau{-}Choo Chung and Guo Liang Yang and Chien{-}Wen Lin and Yu{-}Liang Hsu and Ming{-}Chyi Pai}, title = {An inertial sensor based balance and gait analysis system}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2636--2639}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169227}, doi = {10.1109/ISCAS.2015.7169227}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangCYLHP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangG15, author = {Yang Wang and Weixin Gai}, title = {A 2-tap 40-Gb/s 4-PAM transmitter with level selection based pre-emphasis}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {333--336}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168638}, doi = {10.1109/ISCAS.2015.7168638}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangGC15, author = {Qianqian Wang and Randall L. Geiger and Degang Chen}, title = {A programmable temperature trigger circuit}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1070--1073}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168822}, doi = {10.1109/ISCAS.2015.7168822}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangGC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangHL15, author = {Lei Wang and Chun{-}Huat Heng and Yong Lian}, title = {A sub GHz mostly digital {BPSK} {IR} {UWB} transceiver}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1242--1245}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168865}, doi = {10.1109/ISCAS.2015.7168865}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangL15, author = {Bo Wang and Leibo Liu}, title = {A flexible and energy-efficient reconfigurable architecture for symmetric cipher processing}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1182--1185}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168850}, doi = {10.1109/ISCAS.2015.7168850}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLC15, author = {Chong Wang and Zhouchi Lin and Shing{-}Chow Chan}, title = {Depth map restoration and upsampling for kinect v2 based on IR-depth consistency and joint adaptive kernel regression}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {133--136}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168588}, doi = {10.1109/ISCAS.2015.7168588}, timestamp = {Sat, 03 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLHHLGS15, author = {Bindi Wang and Yao{-}Hong Liu and Pieter Harpe and Johan H. C. van den Heuvel and Bo Liu and Hao Gao and Robert Bogdan Staszewski}, title = {A digital to time converter with fully digital calibration scheme for ultra-low power {ADPLL} in 40 nm {CMOS}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2289--2292}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169140}, doi = {10.1109/ISCAS.2015.7169140}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangLHHLGS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLLP15, author = {Tzu{-}Yun Wang and Li{-}Han Liu and Min{-}Rui Lai and Sheng{-}Yu Peng}, title = {Linearity efficiency factor and power-efficient operational transconductance amplifier in subthreshold operation}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2581--2584}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169213}, doi = {10.1109/ISCAS.2015.7169213}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangLLP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLSLZY15, author = {Zhibo Wang and Yongpan Liu and Yinan Sun and Yang Li and Daming Zhang and Huazhong Yang}, title = {An energy-efficient heterogeneous dual-core processor for Internet of Things}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2301--2304}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169143}, doi = {10.1109/ISCAS.2015.7169143}, timestamp = {Sun, 24 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangLSLZY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLW15, author = {Jianbo Wang and Xiang Li and Lin Wang}, title = {Inferring spatial transmission of epidemics in networked metapopulations}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {906--909}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168781}, doi = {10.1109/ISCAS.2015.7168781}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLXW15, author = {Ya Wang and Fule Li and Chunying Xue and Zhihua Wang}, title = {Charge-compensation-based reference technique for switched-capacitor ADCs}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2257--2260}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169132}, doi = {10.1109/ISCAS.2015.7169132}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangLXW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangNLZ15, author = {Miaohui Wang and King Ngi Ngan and Hongliang Li and Huanqiang Zeng}, title = {Improved block level adaptive quantization for high efficiency video coding}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {509--512}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168682}, doi = {10.1109/ISCAS.2015.7168682}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangNLZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangRAB15, author = {Xuezhen Wang and Russell E. Radke and Jay E. Ackerman and Michael Baker}, title = {Multimode crystal oscillator for power management unit with digitally controlled {AGC} loop in 0.18{\(\mu\)}m {CMOS} technology}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {874--877}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168773}, doi = {10.1109/ISCAS.2015.7168773}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangRAB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangSHCLL15, author = {Ying Wang and Lili Song and Yinhe Han and Yuanqing Cheng and Huawei Li and Xiaowei Li}, title = {A case of precision-tunable {STT-RAM} memory design for approximate neural network}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1534--1537}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168938}, doi = {10.1109/ISCAS.2015.7168938}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangSHCLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangWCSCCH15, author = {Ping{-}Yi Wang and Te{-}Lin Wu and Ming{-}Yu Chen and Yun{-}Chun Shen and Yin{-}Cheng Chang and Da{-}Chiang Chang and Shawn S. H. Hsu}, title = {A low phase-noise class-C {VCO} using novel 8-shaped transformer}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {886--889}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168776}, doi = {10.1109/ISCAS.2015.7168776}, timestamp = {Fri, 17 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangWCSCCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangZCG15, author = {Yen{-}Ting Wang and Chen Zhao and Degang Chen and Randall L. Geiger}, title = {Direct temperature to digital converters with low supply sensitivity for power/thermal management}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1066--1069}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168821}, doi = {10.1109/ISCAS.2015.7168821}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangZCG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangZWLL15, author = {Jiayi Wang and Yongan Zheng and Shi Wang and Maoqiang Liu and Huailin Liao}, title = {Human body channel energy harvesting scheme with -22.5 dBm sensitivity 25.87{\%} efficiency threshold-compensated rectifier}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {89--92}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168577}, doi = {10.1109/ISCAS.2015.7168577}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangZWLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangZYTL15, author = {Jiayi Wang and Yongan Zheng and Fan Yang and Fan Tian and Huailin Liao}, title = {A wide band {CMOS} radio frequency {RMS} power detector with 42-dB dynamic range}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1678--1681}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168974}, doi = {10.1109/ISCAS.2015.7168974}, timestamp = {Wed, 27 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangZYTL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WatersLGM15, author = {Allen Waters and Jerry Leung and Manideep Gande and Un{-}Ku Moon}, title = {A {\(\Delta\)}{\(\Sigma\)} {ADC} using an LSB-first {SAR} quantizer}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1638--1641}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168964}, doi = {10.1109/ISCAS.2015.7168964}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WatersLGM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WeiLSY15, author = {Zhenqi Wei and Peilin Liu and Rongdi Sun and Rendong Ying}, title = {{TAB} barrier: Hybrid barrier synchronization for NoC-based processors}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {409--412}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168657}, doi = {10.1109/ISCAS.2015.7168657}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WeiLSY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WeiZ15, author = {Yunliang Wei and Wei Xing Zheng}, title = {An efficient method for control of continuous-time systems subject to input saturation and external disturbance}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {3068--3071}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169335}, doi = {10.1109/ISCAS.2015.7169335}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WeiZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WeiZC15, author = {Xi{-}Guang Wei and Shuai Zhang and Shing{-}Chow Chan}, title = {A novel visual object tracking algorithm using multiple spatial context models and Bayesian Kalman filter}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1034--1037}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168813}, doi = {10.1109/ISCAS.2015.7168813}, timestamp = {Mon, 26 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WeiZC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WelchC15, author = {David Welch and Jennifer Blain Christen}, title = {{MEMS} optical position sensor for sun tracking}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1874--1878}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169023}, doi = {10.1109/ISCAS.2015.7169023}, timestamp = {Thu, 01 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WelchC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WeldonCSA15, author = {Thomas P. Weldon and John M. C. Covington and Kathryn L. Smith and Ryan S. Adams}, title = {Performance of digital discrete-time implementations of non-Foster circuit elements}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2169--2172}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169110}, doi = {10.1109/ISCAS.2015.7169110}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WeldonCSA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WichardOM15, author = {J{\"{o}}rg D. Wichard and Maciej J. Ogorzalek and Christian Merkwirth}, title = {{CNN} in drug design - Recent developments}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {405--408}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168656}, doi = {10.1109/ISCAS.2015.7168656}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WichardOM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WouafoCC15, author = {Hugues Wouafo and Cyrille Chavet and Philippe Coussy}, title = {Improving storage of patterns in recurrent neural networks: Clone-based model and architecture}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {577--580}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168699}, doi = {10.1109/ISCAS.2015.7168699}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WouafoCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Wu15, author = {Huapeng Wu}, title = {Efficient radix conversions for classes of radices}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2185--2188}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169114}, doi = {10.1109/ISCAS.2015.7169114}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Wu15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuCTC15, author = {Sih{-}Sian Wu and Hong{-}Hui Chen and Chen{-}Han Tsai and Liang{-}Gee Chen}, title = {Memory efficient architecture for belief propagation based disparity estimation}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2521--2524}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169198}, doi = {10.1109/ISCAS.2015.7169198}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuCTC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuCZZZU15, author = {Di Wu and Yun Chen and Qichen Zhang and Li{-}Rong Zheng and Xiaoyang Zeng and Yeong{-}Luh Ueng}, title = {Latency-optimized stochastic {LDPC} decoder for high-throughput applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {3044--3047}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169329}, doi = {10.1109/ISCAS.2015.7169329}, timestamp = {Thu, 04 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuCZZZU15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuHHC15, author = {Kuan{-}I Wu and Szu{-}Yao Hung and Shuo{-}Hong Hung and Charlie Chung{-}Ping Chen}, title = {A fast-settling high linearity auto gain control for broadband OFDM-based {PLC} system}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2852--2855}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169281}, doi = {10.1109/ISCAS.2015.7169281}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuHHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuLKCCH15, author = {Chung{-}Shiang Wu and Kai{-}Chun Lin and Yi{-}Ping Kuo and Po{-}Hung Chen and Yuan{-}Hua Chu and Wei Hwang}, title = {An all-digital power management unit with 90{\%} power efficiency and ns-order voltage transition time for {DVS} operation in low power sensing SoC applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1370--1373}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168897}, doi = {10.1109/ISCAS.2015.7168897}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuLKCCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiaZ15, author = {Youshen Xia and Wei Xing Zheng}, title = {On unbiased identification of autoregressive signals with noisy measurements}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2157--2160}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169107}, doi = {10.1109/ISCAS.2015.7169107}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XiaZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiaoC15, author = {Ran Xiao and Chunhong Chen}, title = {Power optimization design for probabilistic logic circuits}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2593--2595}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169216}, doi = {10.1109/ISCAS.2015.7169216}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XiaoC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XieTG15, author = {Zhibing Xie and Yun Tie and Ling Guan}, title = {A new audiovisual emotion recognition system using entropy-estimation-based multimodal information fusion}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {726--729}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168736}, doi = {10.1109/ISCAS.2015.7168736}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XieTG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiongZ15, author = {Wenjun Xiong and Wei Xing Zheng}, title = {A new approach to finite-time tracking of coupled continuous networks}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {3076--3079}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169337}, doi = {10.1109/ISCAS.2015.7169337}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XiongZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiongZSTECT15, author = {Tao Xiong and Jie Zhang and Yuanming Suo and Dung N. Tran and Ralph Etienne{-}Cummings and Sang Chin and Trac D. Tran}, title = {An unsupervised dictionary learning algorithm for neural recordings}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1010--1013}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168807}, doi = {10.1109/ISCAS.2015.7168807}, timestamp = {Thu, 29 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XiongZSTECT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuC15, author = {Li Xu and Degang Chen}, title = {Accurate spectral testing of analog-to-digital converters with frequency drift using phase correction and averaging}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2265--2268}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169134}, doi = {10.1109/ISCAS.2015.7169134}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuC15a, author = {Li Xu and Degang Chen}, title = {A low cost jitter estimation and {ADC} spectral testing method}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2277--2280}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169137}, doi = {10.1109/ISCAS.2015.7169137}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuC15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuF15, author = {Kan Xu and Eby G. Friedman}, title = {Inductive coupling effects in large {TSV} arrays}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2888--2891}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169290}, doi = {10.1109/ISCAS.2015.7169290}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuHY15, author = {Ye Xu and Pieter Harpe and Trond Ytterdal}, title = {A 4.5fJ/conversion-step 9-bit 35MS/s configurable-gain {SAR} {ADC} in a compact area}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2437--2440}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169177}, doi = {10.1109/ISCAS.2015.7169177}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuHY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuLM15, author = {Yang Xu and Spencer Leuenberger and Un{-}Ku Moon}, title = {Highly linear continuous-time {MASH} {\(\Delta\)}{\(\Sigma\)} {ADC} with dual VCO-based quantizers}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2033--2036}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169076}, doi = {10.1109/ISCAS.2015.7169076}, timestamp = {Tue, 12 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/XuLM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuP15, author = {Teng Xu and Miodrag Potkonjak}, title = {Stable and secure delay-based physical unclonable functions using device aging}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {33--36}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168563}, doi = {10.1109/ISCAS.2015.7168563}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuPMS15, author = {Hesong Xu and Matteo Perenzoni and Nicola Massari and David Stoppa}, title = {A {CMOS} analog SiPM front-end for positron emission tomography application}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1630--1633}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168962}, doi = {10.1109/ISCAS.2015.7168962}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuPMS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuS15, author = {Yue Xu and Ayman Shabra}, title = {A flash-TDC hybrid {ADC} architecture}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1270--1273}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168872}, doi = {10.1109/ISCAS.2015.7168872}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuWCO15, author = {Li Xu and Kainan Wang and Chun{-}hsiang Chang and Marvin Onabajo}, title = {Inductorless linearization of low-power active mixers}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2213--2216}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169121}, doi = {10.1109/ISCAS.2015.7169121}, timestamp = {Tue, 19 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuWCO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YadavT15, author = {Mamta Yadav and Krishnaiyan Thulasiraman}, title = {Network science meets circuit theory: Kirchhoff index of a graph and the power of node-to-datum resistance matrix}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {854--857}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168768}, doi = {10.1109/ISCAS.2015.7168768}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YadavT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YanSX15, author = {Shi Yan and Lijun Sun and Li Xu}, title = {2-D zero-phase {IIR} notch filters design based on state-space representation of 2-D frequency transformation}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2369--2370}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169160}, doi = {10.1109/ISCAS.2015.7169160}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YanSX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangCWYZT15, author = {Lun Yang and Yuanqing Cheng and Yuhao Wang and Hao Yu and Weisheng Zhao and Aida Todri{-}Sanial}, title = {A body-biasing of readout circuit for {STT-RAM} with improved thermal reliability}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1530--1533}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168937}, doi = {10.1109/ISCAS.2015.7168937}, timestamp = {Tue, 19 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YangCWYZT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangCZWF15, author = {Ming Yang and Jianfei Cai and Weiwen Zhang and Yonggang Wen and Chuan Heng Foh}, title = {Adaptive configuration of cloud video transcoding}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1658--1661}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168969}, doi = {10.1109/ISCAS.2015.7168969}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangCZWF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangFT15, author = {Cuili Yang and Zhongyan Fan and Wallace Kit{-}Sang Tang}, title = {Routing design for transmission capacity maximization in complex networks}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1482--1485}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168925}, doi = {10.1109/ISCAS.2015.7168925}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YangFT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangHSBCTLLLT15, author = {Te{-}Fu Yang and Ru{-}Yu Huang and Yi{-}Ping Su and Balakumar and Ke{-}Horng Chen and Tsung{-}Yen Tsai and Jian{-}Ru Lin and Ying{-}Hsi Lin and Chao{-}Cheng Lee and Pei{-}Ling Tseng}, title = {Implantable biomedical device supplying by a 28nm {CMOS} self-calibration {DC-DC} buck converter with 97{\%} output voltage accuracy}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1366--1369}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168896}, doi = {10.1109/ISCAS.2015.7168896}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangHSBCTLLLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangKDV15, author = {Jinghua Yang and Niranjan Kulkarni and Joseph Davis and Sarma B. K. Vrudhula}, title = {Fast and robust differential flipflops and their extension to multi-input threshold gates}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {822--825}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168760}, doi = {10.1109/ISCAS.2015.7168760}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangKDV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangLIF15, author = {Yidi Yang and Weiguo Lu and Herbert H. C. Iu and Tyrone Fernando}, title = {Stabilization of fast-scale instabilities in {PCM} boost {PFC} converter with dynamic slope compensation}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2481--2484}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169188}, doi = {10.1109/ISCAS.2015.7169188}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YangLIF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangRMV15, author = {Bohan Yang and Vladimir Rozic and Nele Mentens and Ingrid Verbauwhede}, title = {On-the-fly tests for non-ideal true random number generators}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2017--2020}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169072}, doi = {10.1109/ISCAS.2015.7169072}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangRMV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangWLLL15, author = {Fan Yang and Runhua Wang and Xiaozhe Liu and Junhua Liu and Huailin Liao}, title = {A high frequency resolution digitally controlled oscillator with differential tapped inductor}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {165--168}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168596}, doi = {10.1109/ISCAS.2015.7168596}, timestamp = {Wed, 27 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YangWLLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangXLHW15, author = {Yang Yang and Xiang Xie and Guolin Li and Yadong Huang and Zhihua Wang}, title = {A combined transmitting coil design for high efficiency {WPT} of endoscopic capsule}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {97--100}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168579}, doi = {10.1109/ISCAS.2015.7168579}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangXLHW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangZLZ15, author = {Meng Yang and Ce Zhu and Xuguang Lan and Nanning Zheng}, title = {Parameter-free view synthesis distortion model with application to depth video coding}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2812--2815}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169271}, doi = {10.1109/ISCAS.2015.7169271}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangZLZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YaoB15, author = {Enyi Yao and Arindam Basu}, title = {A 1 V, compact, current-mode neural spike detector with detection probability estimator in 65 nm {CMOS}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {754--757}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168743}, doi = {10.1109/ISCAS.2015.7168743}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YaoB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YaoHHH15, author = {Chia{-}Yu Yao and Yung{-}Hsiang Ho and Wei{-}Chun Hsia and Jyun{-}Jie Huang}, title = {Simulating delta-sigma analog-to-digital converters with the Op-Amp nonlinearity using the Newton's method}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {537--540}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168689}, doi = {10.1109/ISCAS.2015.7168689}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YaoHHH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YapM15, author = {Kim{-}Hui Yap and Zhenwei Miao}, title = {Hybrid feature-based wallpaper visual search}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {730--733}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168737}, doi = {10.1109/ISCAS.2015.7168737}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YapM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YeLY15, author = {Wen Bin Ye and Xin Lou and Ya Jun Yu}, title = {Design of high-speed multiplierless linear-phase {FIR} filters}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2964--2967}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169309}, doi = {10.1109/ISCAS.2015.7169309}, timestamp = {Fri, 30 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YeLY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YenCC15, author = {Chien{-}Hsuan Yen and Chung{-}Ho Chen and Kuan{-}Chung Chen}, title = {A memory-efficient NoC system for OpenCL many-core platform}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1386--1389}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168901}, doi = {10.1109/ISCAS.2015.7168901}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YenCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YinCKG15, author = {Liang Yin and Cheng{-}Po Chen and Christopher Kapusta and Reza Ghandi}, title = {Electronic packaging of SiC MOSFET-based devices for reliable high temperature operation}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1170--1173}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168847}, doi = {10.1109/ISCAS.2015.7168847}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YinCKG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YinGLKRS15, author = {Hongxu Yin and Bah{-}Hwee Gwee and Zhiping Lin and Achanna Anil Kumar and Sirajudeen Gulam Razul and Chong Meng Samson See}, title = {Novel real-time system design for floating-point sub-Nyquist multi-coset signal blind reconstruction}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {954--957}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168793}, doi = {10.1109/ISCAS.2015.7168793}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YinGLKRS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YinWCS15, author = {Bei Yin and Michael Wu and Joseph R. Cavallaro and Christoph Studer}, title = {{VLSI} design of large-scale soft-output {MIMO} detection using conjugate gradients}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1498--1501}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168929}, doi = {10.1109/ISCAS.2015.7168929}, timestamp = {Thu, 09 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YinWCS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YogeeshPA15, author = {Maruthi N. Yogeesh and Saungeun Park and Deji Akinwande}, title = {Graphene based GHz flexible nanoelectronics and radio receiver systems (Invited)}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2916--2919}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169297}, doi = {10.1109/ISCAS.2015.7169297}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YogeeshPA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YoshidaSA15, author = {Takashi Yoshida and Yosuke Sugiura and Naoyuki Aikawa}, title = {A general expression of the low-pass maximally flat {FIR} digital differentiators}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2197--2200}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169117}, doi = {10.1109/ISCAS.2015.7169117}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YoshidaSA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YoshiokaD15, author = {Daisaburo Yoshioka and Yuta Dainobu}, title = {Some properties of sequences generated by Chebyshev polynomials modulo 2\({}^{\mbox{k}}\)}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {846--849}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168766}, doi = {10.1109/ISCAS.2015.7168766}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YoshiokaD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YousufHC15, author = {Abdul Hamid Bin Yousuf and Nahid M. Hossain and Masud H. Chowdhury}, title = {Performance analysis of through silicon via {(TSV)} and through glass via {(TGV)} for different materials}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1957--1960}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169057}, doi = {10.1109/ISCAS.2015.7169057}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YousufHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuB15, author = {Haibo Yu and Guoqiang Bai}, title = {An efficient method for integer factorization}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {73--76}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168573}, doi = {10.1109/ISCAS.2015.7168573}, timestamp = {Sat, 03 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YuB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuBC15, author = {Cunxi Yu and Walter Brown and Maciej J. Ciesielski}, title = {Verification of arithmetic datapath designs using word-level approach - {A} case study}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1862--1865}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169020}, doi = {10.1109/ISCAS.2015.7169020}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuBC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuCLI15, author = {Tingting Yu and Aijiao Cui and Mengyang Li and Andr{\'{e}} Ivanov}, title = {A new decompressor with ordered parallel scan design for reduction of test data and test time}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {641--644}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168715}, doi = {10.1109/ISCAS.2015.7168715}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuCLI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuVQGCL15, author = {Hang Yu and Vigil Varghese and Xinyuan Qian and Menghan Guo and Shoushun Chen and Kay Soon Low}, title = {An 8-stage time delay integration {CMOS} image sensor with on-chip polarization pixels}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1098--1101}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168829}, doi = {10.1109/ISCAS.2015.7168829}, timestamp = {Mon, 26 Mar 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuVQGCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuYWX15, author = {Wenxin Yu and Liang Yu and Weichen Wang and Jiu Xu}, title = {Frame compatible format fast encoder with stereo matching}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2529--2532}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169200}, doi = {10.1109/ISCAS.2015.7169200}, timestamp = {Thu, 05 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuYWX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuZIF15, author = {Dongsheng Yu and Ciyan Zheng and Herbert H. C. Iu and Tyrone Fernando}, title = {A memristive astable multivibrator based on 555 timer}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {858--861}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168769}, doi = {10.1109/ISCAS.2015.7168769}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YuZIF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuanCAC15, author = {Mingquan Yuan and Premjeet Chahal and Evangelyn C. Alocilja and Shantanu Chakrabartty}, title = {Sensing by growing antennas: {A} novel approach for designing passive {RFID} based biosensors}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2121--2124}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169098}, doi = {10.1109/ISCAS.2015.7169098}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuanCAC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuanP15, author = {Bo Yuan and Keshab K. Parhi}, title = {Successive cancellation decoding of polar codes using stochastic computing}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {3040--3043}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169328}, doi = {10.1109/ISCAS.2015.7169328}, timestamp = {Tue, 12 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuanP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuanZS15, author = {Ling Yuan and Qiang Zhang and Yin Shi}, title = {A 2GHz direct digital frequency synthesizer based on multi-channel structure}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {3064--3067}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169334}, doi = {10.1109/ISCAS.2015.7169334}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuanZS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZamaniD15, author = {Majid Zamani and Andreas Demosthenous}, title = {Power optimization of neural frontend interfaces}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {3008--3011}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169320}, doi = {10.1109/ISCAS.2015.7169320}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZamaniD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZarandyNPNZ15, author = {{\'{A}}kos Zar{\'{a}}ndy and M{\'{a}}t{\'{e}} N{\'{e}}meth and Borbala Jani Matyasne Pencz and Zolt{\'{a}}n Nagy and Tam{\'{a}}s Zsedrovits}, title = {Cellular sensor-processor array based visual collision warning sensor}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1973--1976}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169061}, doi = {10.1109/ISCAS.2015.7169061}, timestamp = {Mon, 11 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZarandyNPNZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZarandyRSC15, author = {{\'{A}}kos Zar{\'{a}}ndy and Csaba Rekeczky and P{\'{e}}ter Szolgay and Leon O. Chua}, title = {Overview of {CNN} research: 25 years history and the current trends}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {401--404}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168655}, doi = {10.1109/ISCAS.2015.7168655}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZarandyRSC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZeinolabedinDYK15, author = {Seyed Mohammad Ali Zeinolabedin and Anh{-}Tuan Do and Kiat Seng Yeo and Tony Tae{-}Hyoung Kim}, title = {Design of a hybrid neural spike detection algorithm for implantable integrated brain circuits}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {794--797}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168753}, doi = {10.1109/ISCAS.2015.7168753}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZeinolabedinDYK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZengSE15, author = {Yidi Zeng and Harald Schrom and Rolf Ernst}, title = {An approach for physical topology exploration in wired bus networks}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {205--208}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168606}, doi = {10.1109/ISCAS.2015.7168606}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZengSE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhanL15, author = {Jingyuan Zhan and Xiang Li}, title = {Asynchronous consensus of second-order multi-agent systems with aperiodic sampled-data}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {902--905}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168780}, doi = {10.1109/ISCAS.2015.7168780}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhanL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangAZHL15, author = {Kai Zhang and Jicheng An and Xianguo Zhang and Han Huang and Shawmin Lei}, title = {Symmetric intra block copy in video coding}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {521--524}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168685}, doi = {10.1109/ISCAS.2015.7168685}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhangAZHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangC15, author = {Li Zhang and Chip{-}Hong Chang}, title = {Public key protocol for usage-based licensing of {FPGA} {IP} cores}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {25--28}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168561}, doi = {10.1109/ISCAS.2015.7168561}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangC15a, author = {Xu Zhang and Degang Chen}, title = {An integrated circuit solution of thermal noise thermometer with cascaded pre-amplifier and 6-bit resolution analog-to-digital converter}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2221--2224}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169123}, doi = {10.1109/ISCAS.2015.7169123}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangC15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangCCB15, author = {Xu Zhang and Chongli Cai and Degang Chen and Gregory Blum}, title = {Cascode and transconductance with capacitances feedback compensation for multistage amplifiers driving no load and 1nF capacitive load}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2077--2080}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169087}, doi = {10.1109/ISCAS.2015.7169087}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangCCB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangCKL15, author = {Le Zhang and Chip{-}Hong Chang and Zhi{-}Hui Kong and Chao Qun Liu}, title = {Statistical analysis and design of 6T {SRAM} cell for physical unclonable function with dual application modes}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1410--1413}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168907}, doi = {10.1109/ISCAS.2015.7168907}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangCKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangCMSGC15, author = {Xu Zhang and Chongli Cai and Hao Meng and Siva Sudani and Randall L. Geiger and Degang Chen}, title = {A calibration technique for {SAR} analog-to-digital converter based on {INL} testing with quantization bits and redundant bit}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {3024--3027}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169324}, doi = {10.1109/ISCAS.2015.7169324}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangCMSGC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangK15, author = {Renyuan Zhang and Mineo Kaneko}, title = {A feasibility study of quaternary {FPGA} designs by implementing Neuron-MOS mechanism}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {942--945}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168790}, doi = {10.1109/ISCAS.2015.7168790}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangLLLZZC15, author = {Luya Zhang and Binbin Lyu and Wengao Lu and Dahe Liu and Meng Zhao and Yacong Zhang and Zhongjian Chen}, title = {A 15-bit two-step pixel-level {ADC} for 17{\(\mu\)}m-pitch low-power and high-dynamic-range {IRFPA}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {670--671}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168722}, doi = {10.1109/ISCAS.2015.7168722}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangLLLZZC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangS15, author = {Ailin Zhang and Guoyong Shi}, title = {A symbolic {SC} integrator model for fast time-response simulation}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1949--1952}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169055}, doi = {10.1109/ISCAS.2015.7169055}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangSWC15, author = {Xinwang Zhang and Yichuang Sun and Zhihua Wang and Baoyong Chi}, title = {A 0.5-30GHz wideband differential {CMOS} {T/R} switch with independent bias and leakage cancellation techniques}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {449--452}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168667}, doi = {10.1109/ISCAS.2015.7168667}, timestamp = {Fri, 23 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangSWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangT15, author = {Xi Zhang and Chi K. Tse}, title = {Assessment of Robustness of Power Systems from the Perspective of Complex Networks}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2684--2687}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169239}, doi = {10.1109/ISCAS.2015.7169239}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhangT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangWC15, author = {Li Zhang and Ho{-}Chun Wu and Shing{-}Chow Chan}, title = {A novel algorithm for time-varying gene regulatory networks identification with biological state change detection}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {61--64}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168570}, doi = {10.1109/ISCAS.2015.7168570}, timestamp = {Thu, 10 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangWMXG15, author = {Xiang Zhang and Shiqi Wang and Siwei Ma and Ruiqin Xiong and Wen Gao}, title = {Towards accurate visual information estimation with Entropy of Primitive}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1046--1049}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168816}, doi = {10.1109/ISCAS.2015.7168816}, timestamp = {Thu, 07 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangWMXG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangWSX15, author = {Yazhong Zhang and Jinjian Wu and Guangming Shi and Xuemei Xie}, title = {Reduced-reference image quality assessment based on entropy differences in {DCT} domain}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2796--2799}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169267}, doi = {10.1109/ISCAS.2015.7169267}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhangWSX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangWZL15, author = {Leo Yu Zhang and Kwok{-}Wo Wong and Yushu Zhang and Qiuzhen Lin}, title = {Joint quantization and diffusion for compressed sensing measurements of natural images}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2744--2747}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169254}, doi = {10.1109/ISCAS.2015.7169254}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangWZL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangYYX15, author = {Chuan Zhang and Junmei Yang and Xiaohu You and Shugong Xu}, title = {Pipelined implementations of polar encoder and feed-back part for {SC} polar decoder}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {3032--3035}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169326}, doi = {10.1109/ISCAS.2015.7169326}, timestamp = {Tue, 04 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangYYX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangYZM15, author = {Wen Zhang and Kim{-}Hui Yap and Dajiang Zhang and Zhenwei Miao}, title = {Feature weighting in visual product recognition}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {734--737}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168738}, doi = {10.1109/ISCAS.2015.7168738}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangYZM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangZKRSZ15, author = {Yue Zhang and Chao Zhang and Jacques{-}Olivier Klein and Dafine Ravelosona and Guangyu Sun and Weisheng Zhao}, title = {Perspectives of racetrack memory based on current-induced domain wall motion: From device to system}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {381--384}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168650}, doi = {10.1109/ISCAS.2015.7168650}, timestamp = {Sat, 18 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangZKRSZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangZLMG15, author = {Xinfeng Zhang and Yabin Zhang and Weisi Lin and Siwei Ma and Wen Gao}, title = {An inter-image redundancy measure for image set compression}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1274--1277}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168873}, doi = {10.1109/ISCAS.2015.7168873}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhangZLMG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangZQZWZTW15, author = {Deming Zhang and Lang Zeng and Yuanzhuo Qu and Youguang Zhang and Mengxing Wang and Weisheng Zhao and Tianqi Tang and Yu Wang}, title = {Energy-efficient neuromorphic computation based on compound spin synapse with stochastic learning}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1538--1541}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168939}, doi = {10.1109/ISCAS.2015.7168939}, timestamp = {Tue, 24 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangZQZWZTW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoHA15, author = {Wenfeng Zhao and Yajun Ha and Massimo Alioto}, title = {{AES} architectures for minimum-energy operation and silicon demonstration in 65nm with lowest energy per encryption}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2349--2352}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169155}, doi = {10.1109/ISCAS.2015.7169155}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoHA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoIFAL15, author = {Junkai Zhao and Herbert H. C. Iu and Tyrone Fernando and Le An and Dylan Dah{-}Chuan Lu}, title = {Design of a non-isolated single-switch three-port {DC-DC} converter for standalone PV-battery power system}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2493--2496}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169191}, doi = {10.1109/ISCAS.2015.7169191}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoIFAL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoLCZWZL15, author = {Meng Zhao and Wengao Lu and Zhongjian Chen and Tingting Zhang and Feng Wu and Yacong Zhang and Dahe Liu}, title = {A low-noise switched-capacitor interface for a capacitive micro-accelerometer}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {337--340}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168639}, doi = {10.1109/ISCAS.2015.7168639}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoLCZWZL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhengGQG15, author = {Ning Zheng and Xin Guo and Lin Qi and Ling Guan}, title = {Two-dimensional discriminant multi-manifolds locality preserving projection for facial expression recognition}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2065--2068}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169084}, doi = {10.1109/ISCAS.2015.7169084}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhengGQG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhengHLG15, author = {Yanqi Zheng and Marco Ho and Ka Nang Leung and Jianping Guo}, title = {A fixed-frequency auto-buck-boost {SIMO} {DC-DC} converter with duty-cycle redistribution and duty-predicted current control}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {225--228}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168611}, doi = {10.1109/ISCAS.2015.7168611}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhengHLG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhengSK15, author = {Le Zheng and Sangho Shin and Sung{-}Mo Steve Kang}, title = {Memristor-based synapses and neurons for neuromorphic computing}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1150--1153}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168842}, doi = {10.1109/ISCAS.2015.7168842}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhengSK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhongWB15, author = {Xiaopeng Zhong and Bo Wang and Amine Bermak}, title = {A reconfigurable time-domain comparator for multi-sensing applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {349--352}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168642}, doi = {10.1109/ISCAS.2015.7168642}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhongWB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhouC15, author = {Liang Zhou and Shantanu Chakrabartty}, title = {A continuous-time varactor-based temperature compensation circuit for floating-gate multipliers and inner-product circuits}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2513--2516}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169196}, doi = {10.1109/ISCAS.2015.7169196}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhouC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhouCH15, author = {Yuteng Zhou and Zhilu Chen and Xinming Huang}, title = {A pipeline architecture for traffic sign classification on an {FPGA}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {950--953}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168792}, doi = {10.1109/ISCAS.2015.7168792}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhouCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhouCLGC15, author = {Rong Zhou and Kwen{-}Siong Chong and Tong Lin and Bah{-}Hwee Gwee and Joseph S. Chang}, title = {A single-VDD half-clock-tolerant fine-grained dynamic voltage scaling pipeline}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2589--2592}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169215}, doi = {10.1109/ISCAS.2015.7169215}, timestamp = {Mon, 27 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhouCLGC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhouZZG15, author = {Jinjia Zhou and Yizhou Zou and Dajiang Zhou and Satoshi Goto}, title = {A fixed-complexity {HEVC} inter mode filtering algorithm based on distribution of {IME-FME} cost ratio}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {617--620}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168709}, doi = {10.1109/ISCAS.2015.7168709}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhouZZG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhuGZKG15, author = {Jiayi Zhu and Li Guo and Dajiang Zhou and Shinji Kimura and Satoshi Goto}, title = {An independent bandwidth reduction device for {HEVC} {VLSI} video system}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {609--612}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168707}, doi = {10.1109/ISCAS.2015.7168707}, timestamp = {Thu, 03 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhuGZKG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhuK15, author = {Hong Zhu and Volkan Kursun}, title = {2-Phase high-frequency clock distribution with {SPLIT-IO} dual-Vt repeaters for suppressed leakage currents}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2932--2935}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169301}, doi = {10.1109/ISCAS.2015.7169301}, timestamp = {Wed, 06 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhuK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhuQZRLES15, author = {Hongjie Zhu and Tian Qiu and Milin Zhang and Andrew G. Richardson and Timothy H. Lucas and Nader Engheta and Jan Van der Spiegel}, title = {Design of a low power impulse-radio ultra-wide band wireless electrogoniometer}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {770--773}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168747}, doi = {10.1109/ISCAS.2015.7168747}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhuQZRLES15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZiabakhshGR15, author = {Soheil Ziabakhsh and Ghyslain Gagnon and Gordon W. Roberts}, title = {Wide linear range voltage-controlled delay unit for time-mode signal processing}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1826--1829}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169011}, doi = {10.1109/ISCAS.2015.7169011}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZiabakhshGR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZiadHMNAAESS15, author = {M. Tarek Ibn Ziad and Mohamed Hossam and Mohamad A. Masoud and Mohamed Nagy and Hesham A. Adel and Yousra Alkabani and M. Watheq El{-}Kharashi and Khaled Salah and Mohamed Abdel Salam}, title = {Finite element emulation-based solver for electromagnetic computations}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1434--1437}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168913}, doi = {10.1109/ISCAS.2015.7168913}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZiadHMNAAESS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZiubinskiS15, author = {Pawel Ziubinski and Dominik Sierociuk}, title = {Fractional order noise identification with application to temperature sensor data}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2333--2336}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169151}, doi = {10.1109/ISCAS.2015.7169151}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZiubinskiS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZjajoGL15, author = {Amir Zjajo and Carlo Galuzzi and Rene van Leuken}, title = {Stochastic noise analysis of neural interface front end}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {169--172}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168597}, doi = {10.1109/ISCAS.2015.7168597}, timestamp = {Tue, 13 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZjajoGL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZouMISBKS15, author = {Hao Zou and Yasser Moursy and Ramy Iskander and Camillo Stefanucci and Pietro Buccella and Maher Kayal and Jean{-}Michel Sallese}, title = {Substrate noise modeling with dedicated {CAD} framework for smart power ICs}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1554--1557}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168943}, doi = {10.1109/ISCAS.2015.7168943}, timestamp = {Tue, 17 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZouMISBKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZuloagaLCY15, author = {Scott Zuloaga and Rui Liu and Pai{-}Yu Chen and Shimeng Yu}, title = {Scaling 2-layer {RRAM} cross-point array towards 10 nm node: {A} device-circuit co-design}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {193--196}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168603}, doi = {10.1109/ISCAS.2015.7168603}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZuloagaLCY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/2015, title = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7152138/proceeding}, isbn = {978-1-4799-8391-9}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.