"Layout-aware scan chain reorder for launch-off-shift transition test coverage."

Sying-Jyan Wang et al. (2008)

Details and statistics

DOI: 10.1145/1391962.1391972

access: closed

type: Journal Article

metadata version: 2021-10-14