Остановите войну!
for scientists:
default search action
Search dblp
Full-text search
- > Home
Please enter a search query
- case-insensitive prefix search: default
e.g., sig matches "SIGIR" as well as "signal" - exact word search: append dollar sign ($) to word
e.g., graph$ matches "graph", but not "graphics" - boolean and: separate words by space
e.g., codd model - boolean or: connect words by pipe symbol (|)
e.g., graph|network
Update May 7, 2017: Please note that we had to disable the phrase search operator (.) and the boolean not operator (-) due to technical problems. For the time being, phrase search queries will yield regular prefix search result, and search terms preceded by a minus will be interpreted as regular (positive) search terms.
Author search results
no matches
Venue search results
no matches
Refine list
refine by author
- no options
- temporarily not available
refine by venue
- no options
- temporarily not available
refine by type
- no options
- temporarily not available
refine by access
- no options
- temporarily not available
refine by year
- no options
- temporarily not available
Publication search results
found 33 matches
- 2015
- Karim M. A. Ali, Rabie Ben Atitallah, Nizar Fakhfakh, Jean-Luc Dekeyser:
Using hardware parallelism for reducing power consumption in video streaming applications. ReCoSoC 2015: 1-7 - Marco A. Z. Alves, Paulo C. Santos, Matthias Diener, Luigi Carro:
Reconfigurable Vector Extensions inside the DRAM. ReCoSoC 2015: 1-6 - Robin Bonamy, Sébastien Bilavarn, Fabrice Muller:
An energy-aware scheduler for dynamically reconfigurable multi-core systems. ReCoSoC 2015: 1-6 - Wolfgang Büter, Yanqiu Huang, Daniel Gregorek, Alberto García Ortiz:
A decentralised, autonomous, and congestion-aware thermal monitoring infrastructure for photonic network-on-chip. ReCoSoC 2015: 1-8 - Wolfgang Büter, Christof Osewold, Awais Ahmed, Daniel Gregorek, Alberto García Ortiz:
Predictable photonic interconnects using an autonomous channel management and a TDMA-NoC. ReCoSoC 2015: 1-6 - Rolf Drechsler, Martin Fränzle, Robert Wille:
Envisioning self-verification of electronic systems. ReCoSoC 2015: 1-6 - Rémy Druyer, Lionel Torres, Pascal Benoit, Paul-Vincent Bonzom, Patrick Le-Quéré:
A survey on security features in modern FPGAs. ReCoSoC 2015: 1-8 - Boyang Du, Luca Sterpone, Lorenzo Venditti, David Merodio Codinachs:
On the design of highly reliable system-on-chip using dynamically reconfigurable FPGAs. ReCoSoC 2015: 1-6 - Arash Firuzan, Mehdi Modarressi, Masoud Daneshtalab:
Reconfigurable communication fabric for efficient implementation of neural networks. ReCoSoC 2015: 1-8 - Charlotte Frenkel, Jean-Didier Legat, David Bol:
A Partial Reconfiguration-based scheme to mitigate Multiple-Bit Upsets for FPGAs in low-cost space applications. ReCoSoC 2015: 1-7 - Timm Friedrich, Kurt Franz Ackermann:
A flexible co-processing approach for SoC-FPGAs based on dynamic partial reconfiguration and bitstream relocation methods. ReCoSoC 2015: 1-7 - Stefan Gehrer, Georg Sigl:
Using the reconfigurability of modern FPGAs for highly efficient PUF-based key generation. ReCoSoC 2015: 1-6 - Elisabeth Glocker, Qingqing Chen, Asheque M. Zaidi, Ulf Schlichtmann, Doris Schmitt-Landsiedel:
Emulation of an ASIC power and temperature monitor system for FPGA prototyping. ReCoSoC 2015: 1-8 - Parham Haririan, Alberto García Ortiz:
A framework for hardware-based DVFS management in multicore mixed-criticality systems. ReCoSoC 2015: 1-7 - Dennis Heinrich, Stefan Werner, Marc Stelzner, Christopher Blochwitz, Thilo Pionteck, Sven Groppe:
Hybrid FPGA approach for a B+ tree in a Semantic Web database system. ReCoSoC 2015: 1-8 - Thomas Hollstein, Siavoosh Payandeh Azad, Thilo Kogge, Behrad Niazmand:
Mixed-criticality NoC partitioning based on the NoCDepend dependability technique. ReCoSoC 2015: 1-8 - Cédric Lichtenau:
Beyond many-core: Commercial workload acceleration in high-end systems. ReCoSoC 2015: 1 - Yunfeng Ma, Leandro Soares Indrusiak:
Hardware-accelerated Response Time Analysis for priority-preemptive Networks-on-Chip. ReCoSoC 2015: 1-8 - Sergio Montenegro:
Design to survive. ReCoSoC 2015: 1 - Javier Mora, Andrés Otero, Eduardo de la Torre, Teresa Riesgo:
Fast and compact evolvable systolic arrays on dynamically reconfigurable FPGAs. ReCoSoC 2015: 1-7 - Osvaldo Navarro, Tim Leiding, Michael Hübner:
Configurable cache tuning with a victim cache. ReCoSoC 2015: 1-6 - Byron Navas, Ingo Sander, Johnny Öberg:
Towards cognitive reconfigurable hardware: Self-aware learning in RTR fault-tolerant SoCs. ReCoSoC 2015: 1-8 - Sobhan Niknam, Arghavan Asad, Mahmood Fathy, Amir-Mohammad Rahmani:
Energy efficient 3D Hybrid processor-memory architecture for the dark silicon age. ReCoSoC 2015: 1-8 - Alberto García Ortiz, Daniel Gregorek, Eduardo de la Torre, Juha Plosila:
Message from the chairs. ReCoSoC 2015: 1 - A. Amalin Prince, Vineeth Kartha:
A framework for remote and adaptive partial reconfiguration of SoC based data acquisition systems under Linux. ReCoSoC 2015: 1-5 - Alfonso Rodríguez, Juan Valverde, Cesar Castanares, Jorge Portilla, Eduardo de la Torre, Teresa Riesgo:
Execution modeling in self-aware FPGA-based architectures for efficient resource management. ReCoSoC 2015: 1-8 - Pietro Saltarelli, Behrad Niazmand, Ranganathan Hariharan, Jaan Raik, Gert Jervan, Thomas Hollstein:
Automated minimization of concurrent online checkers for Network-on-Chips. ReCoSoC 2015: 1-8 - Christian de Schryver:
Towards run-time flexible risk management systems on hybrid platforms. ReCoSoC 2015: 1 - Fynn Schwiegelshohn, Lars Gierke, Michael Hübner:
FPGA based traffic sign detection for automotive camera systems. ReCoSoC 2015: 1-6 - Johanna Sepúlveda, Daniel Florez, Guy Gogniat:
Reconfigurable security architecture for disrupted protection zones in NoC-based MPSoCs. ReCoSoC 2015: 1-8
skipping 3 more matches
loading more results
failed to load more results, please try again later
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
retrieved on 2024-05-05 20:23 CEST from data curated by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint