default search action
Y. N. Srikant
Person information
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
Books and Theses
- 2020
- [b1]Unnikrishnan Cheramangalath, Rupesh Nasre, Y. N. Srikant:
Distributed Graph Analytics - Programming, Languages, and Their Compilation. Springer 2020, ISBN 978-3-030-41885-4, pp. 1-208
Journal Articles
- 2020
- [j35]S. VenkataKeerthy, Rohit Aggarwal, Shalini Jain, Maunendra Sankar Desarkar, Ramakrishna Upadrasta, Y. N. Srikant:
IR2VEC: LLVM IR Based Scalable Program Embeddings. ACM Trans. Archit. Code Optim. 17(4): 32:1-32:27 (2020) - 2017
- [j34]Kartik Nagar, Y. N. Srikant:
Refining Cache Behavior Prediction Using Cache Miss Paths. ACM Trans. Embed. Comput. Syst. 16(4): 103:1-103:26 (2017) - 2016
- [j33]Unnikrishnan C., Rupesh Nasre, Y. N. Srikant:
Falcon: A Graph Manipulation Language for Heterogeneous Systems. ACM Trans. Archit. Code Optim. 12(4): 54:1-54:27 (2016) - [j32]Kartik Nagar, Y. N. Srikant:
Fast and Precise Worst-Case Interference Placement for Shared Cache Analysis. ACM Trans. Embed. Comput. Syst. 15(3): 45:1-45:26 (2016) - 2014
- [j31]Aparna Mandke Dani, Bharadwaj Amrutur, Y. N. Srikant:
Toward a Scalable Working Set Size Estimation Method and Its Application for Chip Multiprocessors. IEEE Trans. Computers 63(6): 1567-1579 (2014) - 2012
- [j30]Rahul Nagpal, Y. N. Srikant:
Compiler-assisted energy optimization for clustered VLIW processors. J. Parallel Distributed Comput. 72(8): 944-959 (2012) - 2011
- [j29]Rahul Nagpal, Y. N. Srikant:
Compiler-assisted power optimization for clustered VLIW architectures. Parallel Comput. 37(1): 42-59 (2011) - [j28]Archana Ravindar, Y. N. Srikant:
Relative roles of instruction count and cycles per instruction in WCET estimation (abstracts only). SIGMETRICS Perform. Evaluation Rev. 39(3): 11-12 (2011) - 2008
- [j27]Rahul Nagpal, Y. N. Srikant:
Pragmatic integrated scheduling for clustered VLIW architectures. Softw. Pract. Exp. 38(3): 227-257 (2008) - 2003
- [j26]Kapil Vaswani, Y. N. Srikant:
Dynamic recompilation and profile-guided optimisations for a .NET JIT compiler. IEE Proc. Softw. 150(5): 296-302 (2003) - [j25]Vineeth Kumar Paleri, Y. N. Srikant, Priti Shankar:
Partial redundancy elimination: a simple, pragmatic, and provably correct algorithm. Sci. Comput. Program. 48(1): 1-20 (2003) - 2002
- [j24]R. Venugopal, Y. N. Srikant:
Scheduling expression trees for delayed-load architectures. J. Syst. Archit. 48(4-5): 151-173 (2002) - [j23]Bharath Kumar M, Y. N. Srikant, R. Lakshminarayanan:
On the use of connector libraries in distributed software architectures. ACM SIGSOFT Softw. Eng. Notes 27(1): 45-52 (2002) - 2000
- [j22]Y. N. Srikant, D. V. Ravindra:
Effective Parameterization of Architectural Registers for Register Allocation Alogorithms. ACM SIGPLAN Notices 35(6): 37-46 (2000) - [j21]Bharath M. Kumar, R. Lakshminarayanan, Y. N. Srikant:
A study of automatic migration of programs across the Java event models. ACM SIGSOFT Softw. Eng. Notes 25(3): 24-29 (2000) - 1999
- [j20]R. Venugopal, Y. N. Srikant:
The complexity of certain incremental code generation problems. Int. J. Comput. Math. 71(4): 447-458 (1999) - [j19]U. Nagaraj Shenoy, Y. N. Srikant, Vijay P. Bhatkar, Sandeep Kohli:
Automatic Data Partitioning by Hierarchical Genetic Search. Parallel Algorithms Appl. 14(2): 119-147 (1999) - 1998
- [j18]R. Venugopal, Y. N. Srikant:
An incremental basic block instruction scheduler. J. Syst. Archit. 45(3): 179-203 (1998) - [j17]Vineeth Kumar Paleri, Y. N. Srikant, Priti Shankar:
A Simple Algorithm for Partial Redundancy Elimination. ACM SIGPLAN Notices 33(12): 35-43 (1998) - 1995
- [j16]R. Venugopal, Y. N. Srikant:
Scheduling Expression Trees with Reusable Registers on Delayed-Load Architectures. Comput. Lang. 21(1): 49-65 (1995) - 1994
- [j15]U. Nagaraj Shenoy, Y. N. Srikant, Vijay P. Bhatkar:
An Automatic Parallelization Framework for Multicomputers. Comput. Lang. 20(3): 135-150 (1994) - [j14]N. Viswanathan, Y. N. Srikant:
Parallel Incremental LR Parsing. Comput. Lang. 20(3): 151-175 (1994) - [j13]R. Venugopal, Y. N. Srikant:
Scheduliing expression trees with register variables on delayed-load architectures. Microprocess. Microprogramming 40(8): 577-596 (1994) - 1993
- [j12]K. H. Shekhar, Y. N. Srikant:
Linda Sub System on Transputers. Comput. Lang. 18(2): 125-136 (1993) - [j11]R. Venugopal, Y. N. Srikant:
Heuristic Chaining in Directed Acyclic Graphs. Comput. Lang. 19(3): 169-184 (1993) - 1990
- [j10]Arvind M. Murching, Y. V. Prasad, Y. N. Srikant:
Incremental Recursive Descent Parsing. Comput. Lang. 15(4): 193-204 (1990) - [j9]Y. N. Srikant:
Parallel Parsing of Arithmetic Expressions. IEEE Trans. Computers 39(1): 130-132 (1990) - 1989
- [j8]Arvind M. Murching, Y. N. Srikant:
Incremental Attribute Evaluation Through Recursive Procedures. Comput. Lang. 14(4): 225-237 (1989) - [j7]Alan Gibbons, Y. N. Srikant:
A Class of Problems Efficiently Solvable on Mesh-Connected Computers Including Dynamic Expression Evaluation. Inf. Process. Lett. 32(6): 305-311 (1989) - 1988
- [j6]H. K. Haripriyan, Y. N. Srikant, Priti Shankar:
A Compiler Writing System Based on Affix Grammars. Comput. Lang. 13(1): 1-11 (1988) - 1987
- [j5]Y. N. Srikant, Priti Shankar:
Parallel parsing of programming languages. Inf. Sci. 43(1-2): 55-83 (1987) - [j4]Y. N. Srikant, Priti Shankar:
A new parallel algorithm for parsing arithmetic infix expressions. Parallel Comput. 4(3): 291-304 (1987) - 1986
- [j3]M. P. Subodh Kumar, Y. N. Srikant:
Graphical simulation of Petri Nets. Comput. Graph. 10(3): 225-228 (1986) - [j2]Vijay Gehlot, Y. N. Srikant:
An Interpreter for SLIPS - An Applicative Language Based on Lambda-Calculus. Comput. Lang. 11(1): 1-13 (1986) - 1982
- [j1]Y. N. Srikant, D. Vidyasagar, Lalit M. Patnaik:
An interactive graphics system for 2-D drawing and design. Comput. Graph. 6(1): 23-27 (1982)
Conference and Workshop Papers
- 2020
- [c49]Y. N. Srikant:
Distributed Graph Analytics. ICDCIT 2020: 3-20 - 2017
- [c48]Unnikrishnan Cheramangalath, Rupesh Nasre, Y. N. Srikant:
DH-Falcon: A Language for Large-Scale Graph Processing on Distributed Heterogeneous Systems. CLUSTER 2017: 439-450 - [c47]Nitesh Upadhyay, Parita Patel, Unnikrishnan Cheramangalath, Y. N. Srikant:
Large Scale Graph Processing in a Distributed Environment. Euro-Par Workshops 2017: 465-477 - 2016
- [c46]Ashish Mishra, Aditya Kanade, Y. N. Srikant:
Asynchrony-aware static analysis of Android applications. MEMOCODE 2016: 163-172 - 2015
- [c45]Rajesh Kumar Thakur, Y. N. Srikant:
Efficient Compilation of Stream Programs for Heterogeneous Architectures: A Model-Checking based approach. SCOPES 2015: 38-47 - [c44]Kartik Nagar, Y. N. Srikant:
Path Sensitive Cache Analysis Using Cache Miss Paths. VMCAI 2015: 43-60 - 2014
- [c43]Kartik Nagar, Y. N. Srikant:
Precise shared cache analysis using optimal interference placement. RTAS 2014: 125-134 - [c42]K. Ananda Vardhan, Y. N. Srikant:
Exploiting critical data regions to reduce data cache energy consumption. SCOPES 2014: 69-78 - 2012
- [c41]Archana Ravindar, Y. N. Srikant:
Estimation of probabilistic bounds on phase CPI and relevance in WCET analysis. EMSOFT 2012: 165-174 - [c40]Aparna Mandke Dani, Y. N. Srikant, Bharadwaj Amrutur:
Efficient cache exploration method for a tiled chip multiprocessor. HiPC 2012: 1-6 - [c39]Kartik Nagar, Y. N. Srikant:
Interdependent cache analyses for better precision and safety. MEMOCODE 2012: 99-108 - [c38]Aparna Mandke Dani, Bharadwaj Amrutur, Y. N. Srikant, Chiranjib Bhattacharyya:
TCP: Thread Contention Predictor for Parallel Programs. PDP 2012: 19-26 - 2011
- [c37]Archana Ravindar, Y. N. Srikant:
Implications of Program Phase Behavior on Timing Analysis. Interaction between Compilers and Computer Architectures 2011: 71-79 - [c36]Arun Rangasamy, Y. N. Srikant:
Evaluation of dynamic voltage and frequency scaling for stream programs. Conf. Computing Frontiers 2011: 40 - [c35]Arun Rangasamy, Y. N. Srikant:
Petri net based performance modeling for effective DVFS for multithreaded programs. SAC 2011: 647-648 - [c34]Aparna Mandke Dani, Bharadwaj Amrutur, Y. N. Srikant:
Applying genetic algorithms to optimize the power in tiled SNUCA chip multicore architectures. SAC 2011: 1090-1091 - [c33]Arun Ramamurthi, Subhajit Roy, Y. N. Srikant:
Probabilistic dataflow analysis using path profiles on structure graphs. SIGSOFT FSE 2011: 512-515 - [c32]Archana Ravindar, Y. N. Srikant:
Relative roles of instruction count and cycles per instruction in WCET estimation. ICPE 2011: 55-60 - 2010
- [c31]Subhajit Roy, Y. N. Srikant:
The Hot Path SSA Form: Extending the Static Single Assignment Form for Speculative Optimizations. CC 2010: 304-323 - [c30]Jimmy Bahuleyan, Rahul Nagpal, Y. N. Srikant:
Integrated energy-aware cyclic and acyclic scheduling for clustered VLIW processors. IPDPS Workshops 2010: 1-8 - [c29]Aparna Mandke Dani, Keshavan Varadarajan, Bharadwaj Amrutur, Y. N. Srikant:
Accelerating multi-core simulators. SAC 2010: 2377-2382 - 2009
- [c28]Subhajit Roy, Y. N. Srikant:
Profiling k-Iteration Paths: A Generalization of the Ball-Larus Profiling Algorithm. CGO 2009: 70-80 - [c27]Vinayak Puranik, Tulika Mitra, Y. N. Srikant:
Probabilistic modeling of data cache behavior. EMSOFT 2009: 255-264 - 2008
- [c26]Arun Rangasamy, Rahul Nagpal, Y. N. Srikant:
Compiler-directed frequency and voltage scaling for a multiple clock domain microarchitecture. Conf. Computing Frontiers 2008: 209-218 - [c25]Sujit Kumar Chakrabarti, Y. N. Srikant:
Test sequence computation for regression testing of reactive systems. ISEC 2008: 131-132 - [c24]Madan Kumar M. M, Amit Thawani, V. Sridhar, Y. N. Srikant:
Analysis of application partitioning for massively multiplayer mobile gaming. MOBILWARE 2008: 28 - [c23]Subhajit Roy, Y. N. Srikant:
Improving flow-insensitive solutions for non-separable dataflow problems. SAC 2008: 211-216 - 2007
- [c22]Rahul Nagpal, Arvind Madan, Bharadwaj Amrutur, Y. N. Srikant:
INTACTE: an interconnect area, delay, and energy estimation tool for microarchitectural explorations. CASES 2007: 238-247 - [c21]Kapil Vaswani, Matthew J. Thazhuthaveetil, Y. N. Srikant, P. J. Joseph:
Microarchitecture Sensitive Empirical Models for Compiler Optimizations. CGO 2007: 131-143 - [c20]Rathijit Sen, Y. N. Srikant:
WCET estimation for executables in the presence of data caches. EMSOFT 2007: 203-212 - [c19]Subhajit Roy, Y. N. Srikant:
Partial Flow Sensitivity. HiPC 2007: 245-256 - [c18]Rahul Nagpal, Y. N. Srikant:
Compiler-Assisted Instruction Decoder Energy Optimization for Clustered VLIW Architectures. HiPC 2007: 405-417 - [c17]Rathijit Sen, Y. N. Srikant:
Executable Analysis using Abstract Interpretation with Circular Linear Progressions. MEMOCODE 2007: 39-48 - [c16]Rahul Nagpal, Y. N. Srikant:
Register File Energy Optimization for Snooping Based Clustered VLIW Architectures. SBAC-PAD 2007: 161-168 - 2006
- [c15]Rahul Nagpal, Y. N. Srikant:
Compiler-assisted leakage energy optimization for clustered VLIW architectures. EMSOFT 2006: 233-241 - [c14]Rahul Nagpal, Y. N. Srikant:
Exploring Energy-Performance Trade-Offs for Heterogeneous Interconnect Clustered VLIW Processors. HiPC 2006: 497-508 - [c13]Sujit Kumar Chakrabarti, Y. N. Srikant:
Specification Based Regression Testing Using Explicit State Space Enumeration. ICSEA 2006: 20 - [c12]H. S. Ashwini, Amit Thawani, Y. N. Srikant:
Middleware for efficient power management in mobile devices. Mobility Conference 2006: 49 - 2005
- [c11]K. Ananda Vardhan, Y. N. Srikant:
Transition aware scheduling: increasing continuous idle-periods in resource units. Conf. Computing Frontiers 2005: 189-198 - [c10]Kapil Vaswani, Matthew J. Thazhuthaveetil, Y. N. Srikant:
A Programmable Hardware Path Profiler. CGO 2005: 217-228 - [c9]Sunil Kumar Anand, Y. N. Srikant:
Genetic algorithm based automatic data partitioning scheme for HPF. HPDC 2005: 289-290 - 2004
- [c8]Rahul Nagpal, Y. N. Srikant:
Integrated temporal and spatial scheduling for extended operand clustered VLIW processors. Conf. Computing Frontiers 2004: 457-470 - [c7]Rahul Nagpal, Y. N. Srikant:
A Graph Matching Based Integrated Scheduling Framework for Clustered VLIW Processors. ICPP Workshops 2004: 530-537 - 2003
- [c6]J. Prakash, C. Sandeep, Priti Shankar, Y. N. Srikant:
A Simple and Fast Scheme for Code Compression for VLIW Processors. DCC 2003: 444 - 2002
- [c5]D. V. Ravindra, Y. N. Srikant:
Improved Preprocessing Methods for Modulo Scheduling Algorithms. HiPC 2002: 485-494 - 1999
- [c4]S. R. Prakash, Y. N. Srikant:
Hyperplane Partitioning: An Approach to Global Data Partitioning for Distributed Memory Machines. IPPS/SPDP 1999: 744-748 - 1997
- [c3]S. R. Prakash, Y. N. Srikant:
Communication cost estimation and global data partitioning for distributed memory machines. HiPC 1997: 480-485 - 1991
- [c2]V. Viswanathan, Y. N. Srikant:
Parallel Incremental LR Parsing. ICPP (3) 1991: 328-329 - 1987
- [c1]Y. N. Srikant:
Parallel Parsing of Arithmetic Expressions. ICPP 1987: 589-591
Parts in Books or Collections
- 2007
- [p3]Y. N. Srikant, K. Ananda Vardhan:
Energy-Aware Compiler Optimizations. The Compiler Design Handbook, 2nd ed. 2007: 7 - [p2]Prakash Prabhu, Priti Shankar, Y. N. Srikant:
The Static Single Assignment Form: Construction and Application to Program Optimization. The Compiler Design Handbook, 2nd ed. 2007: 11 - 2002
- [p1]Y. N. Srikant:
Scalar Compiler Optimizations on the Static Single Assignment Form and the Flow Graph. The Compiler Design Handbook 2002: 99-141
Editorship
- 2007
- [e2]Y. N. Srikant, Priti Shankar:
The Compiler Design Handbook: Optimizations and Machine Code Generation, Second Edition. CRC Press 2007, ISBN 978-1-42-004382-2 [contents] - 2002
- [e1]Y. N. Srikant, Priti Shankar:
The Compiler Design Handbook: Optimizations and Machine Code Generation. CRC Press 2002, ISBN 0-8493-1240-X [contents]
Informal and Other Publications
- 2019
- [i3]Venkata Keerthy S, Rohit Aggarwal, Shalini Jain, Maunendra Sankar Desarkar, Ramakrishna Upadrasta, Y. N. Srikant:
IR2Vec: A Flow Analysis based Scalable Infrastructure for Program Encodings. CoRR abs/1909.06228 (2019) - 2017
- [i2]Ashish Mishra, Y. N. Srikant:
Beyond-Regular Typestate. CoRR abs/1702.08154 (2017) - [i1]Ashish Mishra, Deepak D'Souza, Y. N. Srikant:
Presburger-Definable Parameterized Typestates. CoRR abs/1712.08753 (2017)
Coauthor Index
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-04-25 05:40 CEST by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint