


default search action
ISSCC 2025: San Francisco, CA, USA
- IEEE International Solid-State Circuits Conference, ISSCC 2025, San Francisco, CA, USA, February 16-20, 2025. IEEE 2025, ISBN 979-8-3315-4101-9
- Peter Toth, Paul Shine Eugine, Sebastian Halama, Yerzhan Kudabay, Kaoru Yamashita, Hiroki Ishikuro, Christian Ospelkaus, Vadim Issakov:
13.3 A Cryo-BiCMOS Controller for 9Be+-Trapped-Ion-Based Quantum Computers. 1-3 - Tun-Yu Chang, Jeng-Bang Wang, Yu-Hsuan Tsai, Chia-Hsiang Yang:
15.1 A 3.9mW 200words/min Neural Signal Processor in Speech Decoding for Brain-Machine Interface. 266-268 - Angxiao Yan, Wei Deng, Haikun Jia, Yumeng Yang, Chao Tang, Shiyan Sun, Zhihua Wang, Baoyong Chi:
19.9 An 11-to-16.4GHz, 3.4GHz/µs-Slope, 5.32GHz-Chirp-Bandwidth, 0.043%-RMS-Frequency-Error FMCW Digital PLL with Posterior-Segment DPD Featuring 5-Chirp-Cycle Convergence Time. 1-3 - Yi Shen, Boxuan Chang, Chien-Wei Tseng, Yunfan Wang, Qirui Zhang, Zichen Fan, Zhen Feng, Rahul Narashimha, Andrea Bejarano-Carbo
, Hun-Seok Kim, David T. Blaauw:
A Crystal-Less Frequency-Modulation Transmitter IC with Joint Neural-Network-Driven Modulation and Coding for Low-Power Connectivity. 1-3 - Seonghyeok Park, Su-Hyun Han, Jongbeom Kim, Jubin Kang, Jung-Hoon Chun, Jaehyuk Choi, Seong-Jin Kim:
An Asynchronous 160×90 Flash LiDAR Sensor with Dynamic Frame Rates of 5 to 250fps Based on Pixelwise ToF Validation via a Background-Light-Adaptive Threshold. 116-118 - Junghwan Oh, Wiman Yoo, Dong-Kun Lee, Jong-Seok Kim:
6.7 A 10b Source-Driver IC with All-Channel Automatic Offset Calibration and Slew-Rate-Enhanced Amplifier Achieving 2273pm2/Channel and 1.9mV DVO for 6285-PPI OLED-on-Silicon Displays. 126-128 - Changuk Lee, Jade Pinkenburg, Mohammad Meraj Ghanbari, Cem Yalcin, Miguel Montalban, Rikky Muller:
35.8 DustNet: A Network of Time-Division Multiplexed Ultrasonic Implants with 16-Level ASK Backscatter Modulation. 582-584 - Michael Coln, Qingdong Meng, Viorel Bucur, Ramji Lakshmanan, Aditya Yadav, David Lloyd, Nicolò Ferri, Mark Bignell, Daniele Di Nuzzo, Phillip Nadeau, Matthew Hayes, Roman Trogan:
20.7 A 384-Site Chip Platform for Biochemical Applications with Individual Site Precision Temperature Control. 1-3 - Tobias Webel, Phillip J. Restle, Ramon Bertran, Alper Buyuktosunoglu, Sean M. Carey, Alejandro Cook, Karl Anderson, Michael Romain, Thomas Strach, Pradeep Bhadravati Parashurama, Aishwarya Tadkase, Rahman Abber Tahir, Luke Jenkins, Kevin Low, Eberhard Engler:
8.1 Dynamic Guard-Band Features of the IBM zNext System. 1-3 - Boram Kim, Hankyu Chi, Hyeongjun Ko, Sang-Yeon Byeon, Sungkwon Lee, Changhyun Pyo, Seulgi Kim, Byungjun Kang, Eunji Song, Kwangjin Na, Jin-Youp Cha, Hyesoo Kim, Shinyoung Park, Woo-Seok Choi, Kyunghoon Kim, Hae-Kang Jung, Joohwan Cho, Jonghwan Kim:
22.3 A 42Gb/s Single-Ended Hybrid-DFE PAM-3 Receiver for GDDR7 Memory Interfaces. 1-3 - Yunsong Tao
, Mingtao Zhan, Mingyang Gu, Xiyu He, Yuxuan He, Zhishuai Zhang, Yi Zhong, Lu Jie, Nan Sun:
An 8b 10GS/s 2-Channel Time-Interleaved Pipelined ADC with Concurrent Residue Transfer and Quantization, and Automatic Buffer Power Gating. 440-442 - Josef Heel
, Harijot Singh Bindra, Simon M. Louwsma, Alessandro Dezzani, Bram Nauta:
A 12.8GS/s Sub-Sampling ADC Front-End With 38GHz Input Bandwidth and >39dB SNDR for 1 to 32GHz in 22nm FDSOI. 76-78 - Zitong Zhang, Yuri Lu, Wentao Xu, Bo Cui, Chenge Hu, Zhiluo Zhang, Sheng Sun, Zihao Ren, Cong Zhang, Ziyao Wang, Guangsheng Chen, Chunqi Shi, Leilei Huang, Long Xu, Runxi Zhang:
10.1 A 77GHz Hybrid TDMA-MIMO Phased-Array Radar with 186m Detection Range and 3cm Range Resolution. 1-3 - Quentin Schmidt
, Baptiste Jadot, Brian Martinez, Antoine Faurie, Tristan Meunier, Jean-Baptiste Casanova, Xavier Jehl, Yvain Thonnart, Franck Badets:
13.5 An 18.5µW/qubit Cryo-CMOS Charge-Readout IC Demonstrating QAM Multiplexing for Spin Qubits. 244-246 - Fei Song, Shousheng Han, Rui Paulo Martins, Yan Lu:
An 85-to-230VAC to 3.3-to-4.6VDc 1.52W Capacitor-Drop Sigma-Floating-SC AC-DC Converter with 81.3% Peak Efficiency. 1-3 - Yan-Ting Hsiao, Ya-Chen Tsai, Wei Foo, Hung-Yu Hou, Yun-Chun Su, Yueting Lily Li, Jun-Chau Chien:
20.3 An RFID-Inspired One-Step Packaged Multimode Bio-Analyzer with Vacuum Microfluidics for Point-of-Care Diagnostics. 352-354 - Bing Liu, Jiancheng Huang, Zhen Yang, Xuguang Li, Jin Zhang, Xu Wang, Hao Shi, Fei Li, Zhenhua Xu
, Ruipeng Liu, Shuangxu Li, Yongqiang Wang, Keping Wang, Haipeng Fu, Fanyi Meng, Kaixue Ma:
A 132-to-148GHz CMOS 4TX-4RX FMCW Radar Transceiver Array with Cavity-Backed Antenna-in-Package Achieving 28dBm EIRP. 1-3 - Jeong-Hun Kim, Young-Jun Jeon, Won-Gyu Kim, Jaeseung Lee, Jun-Hyeok Yang, Sung-Wan Hong:
21.6 A 2A Fully Analog Distribution LDO with Noise Immunity for an SoC. 384-386 - Sang-Soo Park, Jaedoeg Lyu, Myungjun Kim, Jaeyun Lee, Youngsun Song, Chungho Yu, Makoto Hirano, Yongseok Kwon, Jonghoon Park, Hojoon Kim, Daein Lee, Donghyun Seo, Byungrok Go, Seoyoon Jeon, Yoonjee Kim, Doo-Hyun Kim, Youngmin Jo, Hyun-Jun Yoon, Junehong Park, In-Mo Kim, Sunghoon Kim, Hokil Lee, Je-Hyeon Yu, Sanglok Kim, Hwan-Seok Ku, Jungmin Seo, Jindo Byun, Seunghyeon Yun, Kyoungtae Kang, Seung-Beom Kim, Yohan Lee, Yongkyu Lee, Kyung-Hwa Kang, Hanjun Lee, YoungHwan Ryu, Hyundo Kim, Wontae Kim, Hyeongdo Choi, Juho Jeon, Ansoo Park, Raehyun Song, Jae-Hwan Kim, Jungsoo Kim, Hwaseok Lee, Mookyung Lee, Jae-Ick Son, Jiho Cho, Moosung Kim, Jae-Woo Im, Jongmin Park, Hyuckjoon Kwon, Youngdon Choi, Chiweon Yoon, Seungjae Lee, Kiwhan Song, Sunghoi Hur:
30.1 A 28Gb/mm24XX-Layer 1Tb 3b/Cell WF-Bonding 3D-NAND Flash with 5.6Gb/s/Pin IOs. 1-3 - Nandish Mehta, Stephen G. Tell, Sanquan Song, Sudhir S. Kudva, Brian Zimmer, Mahmut E. Sinangil, C. Thomas Gray:
17.6 A 100MHz Self-Calibrating RC Oscillator Capable of Clock-Glitch Detection for Hardware Security in a 3nm FinFET Process. 302-304 - Kosuke Yanagidaira, Mario Sako, Yasuhiro Hirashima, Junya Matsuno, Yumi Higashi, Yutaka Shimizu, Akihiro Imamoto, Kazuaki Kawaguchi, Koji Tabata, Takeshi Nakano, Yusuke Ochi, Hiroaki Hoshino, Takeshi Hioka, Shigehito Saigusa, Hiroki Date, Masaki Unno, Jumpei Sato, You Kamata, Hardwell Chibvongodze, Naoki Ojima, Hiroshi Sugawara, Masahiro Kano, Jang-woo Lee, Hiroyuki Mizukoshi, Ryuji Yamashita, Kensaku Abe, Naohito Morozumi, In-Soo Yoon, Takuya Ariki, Jong Hak Yuh, Khin Htoo, Yosuke Kato, Yoshihisa Watanabe, Toshiyuki Kouchi:
A 1Tb 3b/cell 3D-Flash Memory with a 29%-Improved-Energy-Efficiency Read Operation and 4.8Gb/s Power-Isolated Low-Tapped-Termination I/Os. 1-3 - Jaeho Kim, Myeongho Han, Jooeun Bang, Younghyun Lim, Jaehyouk Choi:
8.5 A Command-Aware Hybrid LDO for Advanced HBM Interfaces with 150μA Quiescent Current and 20pF On-Chip Capacitor Achieving Sub-10mV Voltage Droop in 400ps Settling Time. 1-3 - Bei-Shing Lien, Szu-Lin Liu, Wei-Lin Lai, Yi-Chen Lu, Yung-Chow Peng, Kenny Cheng-Hsiang Hsieh:
4.6 A 0.8V, 31ppm/°C, -40dB DC-to-GHz Power-Supply-Rejection Standard-Vth Core-MOS-Only Voltage Reference with a 294µm2Area. 1-3 - Bohdan Karpinskyy, Yong Ki Lee, Sumin Noh, Yunhyeok Choi, Jieun Park, Jisu Kang, Taewook Park, Eunhye Oh, Gapkyung Kim, Sungha Lee, Hyunwoo Ko, Jonghoon Shin, Hyo-Gyuem Rhew, Jongshin Shin:
An Efficient Vth-Tilting PUF Design in 3nm GAA and 8nm FinFET Technologies. 1-3 - Zixiao Lin, Jim Wouda, Sami Oukassi, Gaël Pillonnet, Patrick P. Mercier:
20.9 An Autonomous and Lightweight Microactuator Driving System Using Flying Solid-State Batteries. 364-366 - Rahul Lall, Youngho Seo, Ali M. Niknejad, Mekhail Anwar:
A 3x3.3mm Configurable y Photon Spectrometer for Precision Radioguided Cancer Resection. 1-3 - Eli Yablonovitch, Qixin Feng, Sri Krishna Vadlamani, T. Patrick Xiao:
Circuits that Solve Optimization Problems by Exploiting Physics Inequalities. 226-228 - Seunghyun Moon, Mao Li, Gregory K. Chen, Phil C. Knag, Ram Kumar Krishnamurthy, Mingoo Seok:
T-REX: A 68-to-567μs/Token 0.41-to-3.95μJ/Token Transformer Accelerator with Reduced External Memory Access and Enhanced Hardware Utilization in 16nm FinFET. 406-408 - Chunxiao Hu, Jiaxiang Li, Jie Lin, Hongtao Xu, Yun Yin:
26.2 A Wideband Replicas-Rejection Digital Transmitter Using Joint-Digital-Analog Interpolation and Filtering in 28nm CMOS. 1-3 - Hyun-Gi Seok, Jae-Keun Lee, Sinyoung Kim, Wonjun Jung, Honggul Han, Junhyeong Kim, Sumin Kang, Chanho Kim, Wonkang Kim, Jongpil Cho, Seungyong Bae, Yanghoon Lee, Sungbeom Kim, Hyeonuk Son, Junyoung Jang, Taeyeon Kim, Sanguk Cho, Misuk Cho, Chiyoung Ahn, Hyukjun Sung, Wan Kim, Seunghyun Oh, Jongwoo Lee, Hyungjong Ko, Joonsuk Kim:
10.4 A 2- TRX IR-UWB Transceiver with Shared Antennas Supporting Channels 5 to 12 in Compliance with IEEE 802.15.4/4z Standards. 1-3 - Arash Akhoundi, Yawende Landbrug, Pumiao Yan, E. J. Chichilnisky, Boris Murmann, Dante Gabriel Muratore:
15.2 A 1024-Channel 0.00029mm2/ch 74nW/ch Online Spatial Spike-Sorting Chip with Event-Driven Spike Detection and Self-Organizing Map Clustering. 268-270 - Yu-Chun Ding, Chia-Yu Chang, Chun-Yeh Lin, Hui-Yun Tsai, Hao-Jiun Tu, Kai-Feng Chang, Yu-Ching Su, Tsung-Han Hsieh, Yu-Kuan Jian, Wen-Ching Chen, Nian-Shyang Chang, Chun-Pin Lin, Chi-Shi Chen, Chao-Tsung Huang:
2.5 A 16nm 5.7TOPS CNN Processor Supporting Bi-Directional FPN for Small-Object Detection on High-Resolution Videos. 1-3 - Hyun-Seung Choi, Injun Park, Byungchoul Park, DongSeok Cho, Myung-Jae Lee, Youngcheol Chae:
SPAD Flash LiDAR with Chopped Analog Counter for 76m Range and 120klx Background Light. 118-120 - Kai-Ping Lin, Tong Wu, Chang-Pao Lin, Po-Wei Chen, Zhi-Jun Zhang, Win-San Khwa, Meng-Fan Chang, Chao-Tsung Huang:
2.9 STEP: An 8K-60fps Space-Time Resolution-Enhancement Neural-Network Processor for Next-Generation Display and Streaming. 1-3 - Jong-Hun Kim, Seung-Ju Lee, Yeon-Woo Jeong, Mun-Jung Cho, Min-Sik Kim, Myeong-Ho Kim, Se-Un Shin:
A Programming-Free Three-Dimensional Resonant Current-Mode Wireless Receiver with Real-Time Link-Adaptivity and a 0.904cm3 Receiver Coil for Implantable Systems. 1-3 - Hendrik Siemssen, Rochus Nowosielski, Holger Borchardt, Jan Mueller, Bernhard Wicht
:
3.3 A Passive Switched-Capacitor-Based Multimode Amplifier with a Logarithmic Conformity Error of 0.75% from -25 to 200°C. 1-3 - De-Qi You, Win-San Khwa, Bo Zhang, Fang-Yi Chen, Andrew Lee, Yu-Cheng Hung, Yi-Ming Li, Yu-Hui Wang, Chung-Chuan Lo, Ren-Shuo Liu, Kea-Tiong Tang, Chih-Cheng Hsieh, Yu-Der Chih, Tsung-Yung Jonathan Chang, Meng-Fan Chang:
14.1 A 22nm 104.5TOPS/W µ-NMC-Δ-IMC Heterogeneous STT-MRAM CIM Macro for Noise-Tolerant Bayesian Neural Networks. 1-3 - Jaihyuk Song:
AI Revolution Driven by Memory Technology Innovation. 26-36 - Zijian Liu, Minglei Zhang, Wei Zhang, Yan Zhu, Rui Paulo Martins, Chi-Hang Chan:
24.4 A 10b 3GS/s Time-Domain ADC with Mutually Exclusive Metastability Correction and Wide Common-Mode Input. 1-3 - Jiacheng Yang, Rui Paulo Martins, Mo Huang:
21.3 A Segmented-Interlacing Multi-Phase Hybrid Converter with Inherently Auto-Balanced ILs and Boosted IL Slew Rate During Load Transients. 378-380 - Huan-Cheng Liao, Shunyao Zhang, Yumin Su, Arvind Govinday, Yiwei Zou, Wei Wang, Vivek Boominathan, Ashok Veeraraghavan, Lei Li, Kaiyuan Yang:
35.2 A Spatial-Domain Compressive-Sensing Photoacoustic Imager with Matrix-Multiplying SAR ADC. 1-3 - Ahmed Gharib Gadelkarim, Patrick P. Mercier:
5.10 A 3.5mW mm-Wave Low-Noise Active Bandpass Filter Employing an All-Passive Interferer-Cancellation Feedforward Path. 1-3 - Sushil Kumar, Gajanan Jedhe, Chetan Deshpande, Agastya Gouoi, Phoebe Su, Kim Soon Jway, TzeYing Seoh:
A 3nm FinFET 2.2Gsearch/s 0.305fJ/b TCAM with Dynamically Gated Search Lines for Data-Center ASICs. 496-498 - Siyuan Ye, Jiajia Cui, Jihang Gao, Jie Li, Xing Zhang, Ru Huang, Linxiao Shen:
18.5 A Rail-to-Rail 3rd-Order Noise-Shaping SAR ADC Achieving 105.4dB SFDR with Integrated Input Buffer Using Continuous-Time Correlated Level Shifting. 314-316 - Harold Pilo, John Barth, Kapil Dev Dwivedi, Peter Lee, Vikram Kumar, Prasanna Nalawar, Yogeshbhai Patel, Shailendra Sharad, Shakti Singh:
A 38Mb/mm2 380/540mV Dual-Rail SRAM in 3nm-FinFET Technology. 498-500 - Chao-Ching Hung, Chih-Hsien Shen, Chien-Li Lin, Mou Tzou, Kevin Fong, Yu-Li Hsueh:
19.3 A Fractional-N PLL with 34fsrms Jitter and -255.5dB FoM Based on a Multipath Feedback Technique. 1-3 - Seokchan Song, Seryeong Kim, Wonhoon Park, Jongjun Park, Sanghyuk An, Gwangtae Park, Minseo Kim, Hoi-Jun Yoo:
IRIS: A 8.55mJ/frame Spatial Computing SoC for Interactable Rendering and Surface-Aware Modeling with 3D Gaussian Splatting. 1-3 - Jinhua Guo
, Pei Qin, Haoshen Zhu, Xiang Yi, Wenjie Feng, Wenquan Che, Quan Xue:
A Differential Series-Resonance CMOS VCO with Pole-Convergence Technique Achieving 202.1 dBc/Hz FoMTA at 10MHz Offset. 332-334 - Kotaro Naruse, Naru Kato, Takuma Matsumori, Jun Shiomi, Yoshihiro Midoh, Tetsuya Hirose, Gaku Imamura, Genki Yoshikawa, Constantine Sideris, Noriyuki Miura:
20.1 A 3.5×3.5mm2 1.47mW/ch 16-Channel MSS-CMOS Heterogeneous Multi-Modal-Gas-Sensor Chip Stack. 348-350 - Toshiki Kainuma, Ryo Wakamatsu, Kimitaka Wada, Tohru Takeda, Shota Ueyama, Hiroki Suto, Tsukasa Miura, Koushi Uemura, Masao Kimura, Masaki Sakakibara
, Yusuke Oike:
6.5 A 25.2Mpixel 120frames/s Full-Frame Global-Shutter CMOS Image Sensor with Pixel-Parallel ADC. 122-124 - Lorenzo Piotto
, Guglielmo De Filippi, Andrea Mazzanti:
33.3 A 125-to-170GHz Power-Efficient Phase Shifter in SiGe BiCMOS with Outphasing Gain and Phase Corrections. 546-548 - Deshan Tang, Bingzheng Yang, Aoran Han, Xun Luo:
A 56-to-64GHz Linear Power Amplifier with 30.2dBm Psat and 23.5% PAEpeak Using Scalable Matched-Zone-Expanding Radial Power Combining with EM-Loss Reduction in 40nm Bulk CMOS. 1-3 - Donghyun Youn, Kyeongwon Jeong, Woongro Youn, Hoyong Seong, Yechan Park, Sohmyung Ha, Minkyu Je:
An 18.5nF-Input-Range PM-SAR-Hybrid Capacitance-to-Digital Converter Achieving 6.1μs Conversion Time at 18.1pF Input Capacitance. 482-484 - Bingyi Ye, Tianchen Ye, Tianyuan Zhong, Zhiwen Huang, Lei Shen, Boyang Zhang, Dunshan Yu, Yandong He, Weixin Gai:
A 1.11pJ/b 224Gb/s XSR Receiver with Slice-Based CTLE and PI-Based Clock Generator in 12nm CMOS. 140-142 - Nandor G. Toth, Kofi A. A. Makinwa:
27.4 A BJT-Based Temperature Sensor with an 80fJ.K2 Resolution FoM. 476-478 - Shengdao Ren
, Yukan Du, Menglian Zhao, Zhichao Tan, Chushan Li, Yong Ding, Wuhua Li, Wanyuan Qu:
A 12A 89.3% Peak Efficiency and 26mV Undershoot 12-to-1V Two-Stage Converter with Regulated Resonant Switched-Capacitor Regulators. 374-376 - Yannan Zhang, Minglei Zhang, Zehang Wu, Yan Zhu, Rui Paulo Martins, Chi-Hang Chan:
24.5 A 72GS/s 9b Time-Interleaved Pipeline-SAR ADC Achieving 55.3/49.3dB SFDR at 20GHz/Nyquist Inputs in 16nm FinFET. 436-438 - V. Gurumoorthy, A. Tan, Amrutha Iyer, A. Fan, A. Farhoodfar, B. Alnabulsi, Belal Helal, Cindra Abidin, C. Loi, D. Cartina, H. Lo, Ivan Fabiano, Jamal Riani, J. H. Teo, J. Q. Wang, Karthik Raviprakash, K. K. Ravi Prakash, L. Cai, L. Patra, M. Bachu, Nicola Codega, N. Shivashankar, Sagar Ray, S. Chong, S. Jafarlou, S. Yu, Tzu-Fan Wu, WY Neo, X. Ding, Y. Wang, Z. Yan, Z. Sun, S. Jantzi, L. Tse, K. Chang:
A 212Gb/s PAM-4 Retimer with Integrated High-Swing Optical Driver and Chip-to-Module Long Reach Capability of 40dB in 5nm FinFET. 1-3 - Yiyang Yuan
, Bingxin Zhang, Yiming Yang, Yishan Luo, Qirui Chen, Shidong Lv, Hao Wu, Cailian Ma, Ming Li, Jinshan Yue, Xinghua Wang, Guozhong Xing, Pui-In Mak, Xiaoran Li
, Feng Zhang:
14.5 A 28nm 192.3TFLOPS/W Accurate/Approximate Dual-Mode-Transpose Digital 6T-SRAM CIM Macro for Floating-Point Edge Training and Inference. 258-260 - Tian Xia, Qiujin Chen, Shujing Wang, Rui Paulo Martins, Mo Huang:
32.1 A 180MHz 45.3%-Peak-Efficiency Isolated Converter Using Q-Downsize Class-D Power Amplifier with Inherent Shoot-Through Current Blocking and High Tolerance for Efficiency Despite Frequency Misalignments. 1-3 - Jinchen Wang, Daniel Sheen, Xibi Chen, Steven F. Nagle, Ruonan Han:
A 232-to-260GHz CMOS Amplifier-Multiplier Chain With a Low-Cost, Matching-Sheet-Assisted Radiation Package and 11.1dBm Total Radiated Power. 542-544 - Sang Min Lee, Hanjoon Kim, Jeseung Yeon, Minho Kim, Changjae Park, Byeongwook Bae, Yojung Cha, Wooyoung Choe, Jonguk Choi, Younggeun Choi
, Ki Jin Han, Seokha Hwang, Kiseok Jang, Jaewoo Jeon, Hyunmin Jeong, Yeonsu Jung, Hyewon Kim, Sewon Kim, Suhyung Kim, Won Kim, Yongseung Kim, Youngsik Kim, Hyukdong Kwon, Jeong Ki Lee, Juyun Lee, Kyungjae Lee, Seokho Lee, Minwoo Noh, Junyoung Park, Jimin Seo, June Paik:
16.2 RNGD: A 5nm Tensor-Contraction Processor for Power-Efficient Inference on Large Language Models. 284-286 - Jun-Seok Park, Taehee Lee, Heonsoo Lee, Changsoo Park, Youngsang Cho, Mookyung Kang, Heeseok Lee, Jinwon Kang, Taeho Jeon, Dongwoo Lee, Yesung Kang, Kyungmok Kum, Geunwon Lee, Hongki Lee, Minkyu Kim, Suknam Kwon, Sung-beom Park, Dongkeun Kim, Chulmin Jo, HyukJun Chung, Ilryoung Kim, Jongyoul Lee:
16.3 An on-Device Generative AI Focused Neural Processing Unit in 4nm Flagship Mobile SoC with Fan-Out Wafer-Level Package. 286-288 - Yuhao Xiong, Wenxing Cao, Xihao Liu, Shangzhou Zhao, Zhongming Xue, Zhuoqi Guo, Li Geng:
A 6.78MHz 94.2% Peak Efficiency Class-E Transmitter with Adaptive Real-Part Impedance Matching and Imaginary-Part Phase Compensation Achieving a 33W Wireless-Power-Transfer System. 190-192 - Daniela Rus:
1.2 From Chips to Thoughts: Building Physical Intelligence into Robotic Systems. 16-22 - Jaewon Shin, Rei Sumikawa, Dongzhu Li, Mototsugu Hamada, Atsutake Kosuge:
13.6 A Via-Programmable DNN-Processor Fabrication Toward 1/40th Mask Cost. 246-248 - Raj R. Varada, Rohini Krishnan, Ajith Subramonia, Rathish Chandran, Kalyana Chakravarthy, Uttpal D. Desai, Sumedha Limaye, Puneesh Puri, David R. Mulvihill, Mike Bichan, Martin Koolhaas, Vijayalakshmi Ramachandran, Srinivasu Kendle:
2.3 Granite Rapids-D: Intel Xeon 6 SoC for vRAN, Edge, Networking, and Storage. 48-50 - Margherita Ronchini, Weichen Wang, Yuya Nishio, Yating Yao, Zhenan Bao:
Skin-Inspired Electronics: An Emerging Sensing and Computing Platform. 1-3 - Haoming Zhang
, Yuyang Zhu, Masaru Osada, Tetsuya Iizuka:
A 96fsrms-Jitter, -70.6dBc-Fractional-Spur Cascaded PLL Employing Two MMDs with Shared DSM for Quantization Noise Cancellation. 1-3 - Qishen Fang, Feiyu Li, Rui Paulo Martins, Man-Kay Law:
31.4 A 91.25% Peak Power-Conversion-Efficiency Capacitive Power-Management IC Supporting up to 5.68mJ Burst Energy Delivery Using a Single External Capacitor for mm-Scale IoT Applications. 524-526 - Mu-Shan Lin, Chien-Chun Tsai, Shenggao Li, Wei-Chih Chen, Wen-Hung Huang, Yu-Chi Chen, Yu-Jie Huang, Alan J. Drake, Chin-Hua Wen, Paul Ranucci, Hsin-Hung Kuo, Aidong Yin, Shu-Chun Yang, Farsheed Mahmoudi, Han-Tzung Ke, Chao-Chieh Li, Nai-Chen Cheng, Jimmy Wang, Kevin Lin, Harry Liao, Jie-Ren Huang, Meng-Hsuan Wu, Kenny Cheng-Hsiang Hsieh, Nicholas Amatruda, William Polanco, David King, Todd Basso, Anwar Kashem:
36.1 A 32Gb/s 10.5Tb/s/mm 0.6pJ/b UCIe-Compliant Low-Latency Interface in 3nm Featuring Matched-Delay for Dynamic Clock Gating. 586-588 - Yongjae Park, Yeong-Jin Mo, Jeong-Hoon Kim, Gert Cauwenberghs, Seong-Jin Kim:
A 4.6μW 3.3-NEF Biopotential Amplifier with 133VPP Common-Mode Interference Tolerance and 102dB Total Common-Mode Rejection Ratio for Two-Electrode Recording System. 278-280 - Sashank Krishnamurthy, Susnata Mondal, Junyi Qiu, Tolga Acikalin, Soumya Bose, Shuhei Yamada, James E. Jaussi, Mozhgan Mansuri:
A 0.9pJ/b 108Gb/s PAM-4 VCSEL-Based Direct-Drive Optical Engine. 592-594 - Weibo Wang, Zhe Li, Kai Li, Haifeng Cheng, Fangjin Guo, Yibin Zhang, Keping Wang:
33.2 A 216-to-226GHz Watt-Level GaN Solid-State Power Amplifier with Multiband Large-Signal Impedance Correction and Circuit-Package Co-Design Technique. 544-546 - Liang-Hsin Lin, Yao-Kai Yang, Chia-Hsiang Yang:
17.3 A 30.4GOPS/mW MK-CKKS Processor for Secure Multi-Party Computation. 296-298 - Yuan Ma, Shangbin Liu, Yahao Song, Chao Xie, Yuwei Zhang, Chao Sun, Xiaoyan Ma, Lan Yin, Milin Zhang:
Millimeter-Sized 0.1pM LoD Wireless 16-Channel Organic-Electrochemical-Transistor-Based Electrochemical Sensing SoC. 1-3 - Sangjin Kim, Jungjun Oh, Jeonggyu So, Yuseon Choi, Sangyeob Kim, Dongseok Im, Gwangtae Park, Hoi-Jun Yoo:
23.3 EdgeDiff: 418.4mJ/Inference Multi-Modal Few-Step Diffusion Model Accelerator with Mixed-Precision and Reordered Group Quantization. 1-3 - Shangjie Wei, Renjie Tang, Chenyao Cao, Yu Su, Kanan Wang, Zhengyang Ye, Huanfa Sun, Kun Fu, Xiaoyan Gui:
7.9 A 60Gb/s NRZ Burst-Mode CDR with Cross-Injection Locking and Flash Phase Detector Achieving 0.13ns Reconfiguration Time in 28nm CMOS. 1-3 - Yue Cao
, Yi Shen, Shubin Liu, Haolin Han, Hongzhi Liang, Li Dang, Dengquan Li, Ruixue Ding, Zhangming Zhu:
24.2 A 14b 1GS/s Single-Channel Pipelined ADC with A Parallel-Operation SAR Sub-Quantizer and A Dynamic-Deadzone Ring Amplifier. 430-432 - Aodong Zhang, Mingtao Zhan, Mengying Chen, Yi Zhong, Lu Jie, Nan Sun, Qinwen Fan:
3.4: A CMOS Operational Amplifier Achieving ±5.8µV 3σ Offset and ±88nV/°C 3σ Offset Drift Using an on-Chip Heater-Based Self-Trimming Technique. 72-74 - Sangyeob Kim, Jungwan Lee, Hoi-Jun Yoo:
Slim-Llama: A 4.69mW Large-Language-Model Processor with Binary/Ternary Weights for Billion-Parameter Llama Model. 421-423 - Junwei Huang, Xiangyu Mao, Zhiguo Tong, Zhewen Yu, Wenjie Yang, Chi-Seng Lam, Rui Paulo Martins, Yan Lu:
21.9 A 20MHz & 1MHz Dual-Loop Non-Uniform-Multi-Inductor Hybrid DC-DC Converter with Specified Inductor Current Allocation and Fast Transient Response. 1-3 - Win-San Khwa, Ping-Chun Wu, Jian-Wei Su, Chiao-Yen Cheng, Jun-Ming Hsu, Yu-Chen Chen, Le-Jung Hsieh, Jyun-Cheng Bai, Yu-Sheng Kao, Tsung-Han Lou, Ashwin Sanjay Lele, Jui-Jen Wu, Jen-Chun Tien, Chung-Chuan Lo, Ren-Shuo Liu, Chih-Cheng Hsieh, Kea-Tiong Tang, Meng-Fan Chang:
14.2 A 16nm 216kb, 188.4TOPS/W and 133.5TFLOPS/W Microscaling Multi-Mode Gain-Cell CIM Macro Edge-AI Devices. 1-3 - Tsung-Hsun Tsai, Kwuang-Han Chang, Andrew Berkovich, Raffaele Capoccia, Song Chen, Zhao Wang, Chiao Liu, Yi-Hsuan Lin, Sheng-Yeh Lai, Hao-Ming Hsu, Hirofumi Abe, Kazuya Mori, Hideyuki Fukuhara, Chih-Hao Lin, Toshiyuki Isozaki, Wei-Chen Li, Wei-Fan Chou, Masayuki Uno, Rimon Ikeno, Masato Nagamatsu, Guang Yang, Shou-Gwo Wuu, Lyle Bainbridge:
6.4 A 400×400 3.24µm 117dB-Dynamic-Range 3-Layer Stacked Digital Pixel Sensor. 120-122 - Jin-Hyeok Baek, Jang-Hoo Kim, Yoo-Chang Sung, Jae-Woo Jeong, Jin-Kwan Park, Hyun-Kyu Oh, Bo-Hyeon Lee, Dong-Wan Ko, Tae-Seob Oh, Seung-Gi Hong, Chang-Ki Kwon, Daihyun Lim, Myeong-O. Kim, Seung-Jun Bae, Tae-Young Oh, Sang-Jun Hwang:
A 16Gb 12.7Gb/s/pin LPDDR5-Ultra-Pro DRAM with 4-Phase Self-Calibration and AC-Coupled Transceiver Equalization in a 5th-Generation 10nm DRAM Process. 510-512 - Yunho Lee, Hyunjun Park, Minsu Kim, Woojoong Jung, Hongseok Kim, Hyung-Min Lee:
A 97.4%-Peak-Efficiency Always-Half-Inductor-Current Hybrid Bidirectional Converter With Adaptive Target Current Tracking for USB-to-2-Cell Bidirectional Power Transfer. 380-382 - Tobias Chlan, Markus Dietl, Ralf Brederlow:
4.4 A 0.36nW/0.9V 32kHz Crystal Oscillator Using Analog Regulation for Cross-Current Avoidance. 1-3 - Depeng Sun, Feng Bu, Qixian Ye, Shijie Li, Yuan Gao, Bowen Wang, Hao Xu, Na Yan, Shubin Liu, Zhangming Zhu:
19.11 A 13GHz Charge-Pump PLL Achieving 15.8fsrms Integrated Jitter and -98.5dBc Reference Spur. 344-346 - Wei Wang, Yumin Su, Huan-Cheng Liao, Yiwei Zou, Tian Qiu, Kaiyuan Yang:
35.4: A Miniature Biomedical Implant Secured by Two-Factor Authentication with Emergency Access. 574-576 - Navid Shahriari:
1.1 AI Era Innovation Matrix. 10-15 - Xuyang Duan
, Xinhua Shi, Zikang Zhou
, Zhiyi Shu, Yitong Rong, Yufan Chen, Zhen Yang, Menghan Li
, Jun Han:
A 0.52mJ/Frame 107fps Super-Resolution Processor Exploiting Pseudo-FP6 Sparsity for Mobile Applications. 1-3 - Zhifei Wang, Zhiwen Huang, Tianchen Ye, Bingyi Ye, Fangzhu Li, Wei Wang, Dunshan Yu, Weixin Gai:
A 64Gb/s/wire 10.5Tb/s/mm/Layer Single-Ended Simultaneous Bi-Directional Transceiver with Echo and Crosstalk Cancellation for a Die-to-Die Interface in 28nm CMOS. 588-590 - Munjae Chae, Seheon Jang, Chanwoong Hwang, Hangi Park, Jaehyouk Choi:
34.1 A 65fsrms-Jitter and -272dB-FoMjitter, N 10.1GHz Fractional-N Digital PLL with a Quantization-Error-Compensating BBPD and an Orthogonal-Polynomial LMS Calibration. 554-556 - Jianbo Liu, Zephan M. Enciso, Boyang Cheng, Likai Pei, Steven Davis, Yifan Qin, Zhenge Jia, Xiaobo Sharon Hu, Yiyu Shi, Ningyuan Cao:
15.3 A 65nm Uncertainty-Quantifiable Ventricular Arrhythmia Detection Engine with 1.75µJ Per Inference. 1-3 - Yechen Tian, Junjie Gu, Weitao He, Shuai Liu, Hao Xu, Na Yan:
7.10 An 8-to-28GHz 8-Phase Clock Generator Using Dual-Feedback Ring Oscillator in 28nm CMOS. 154-156 - Haochen Zhang, Wei-Han Yu, Zhongyu Zhao, Zhizhan Yang, Ka-Fai Un, Jun Yin, Rui Paulo Martins, Pui-In Mak:
A 94.8nW Battery-Free Intelligent Silicon Platform Enabling Distributed, Adaptive, and Event-Driven Multimodal Sensing at the Edge. 1-3 - Mohamed Eleraky, Tzu-Yuan Huang, Hua Wang:
5.5 An Ultra-Compact Wideband Load-Insensitive Complex-Cascode LC-Neutralized Power Amplifier for 4: 1-VSWR-Resilient Operations in Large-Scale Phased Arrays. 1-3 - Po-Jui Chiu, Tz-Wun Wang, Xiao-Quan Wu, Chi-Yu Chen, Yu-Ting Huang, Chien-Wei Cho, Sheng-Hsi Hung, Yu-Tse Shih, Ke-Horng Chen, Kuo-Lin Zheng, Ying-Hsi Lin, Shian-Ru Lin, Tsung-Yen Tsai, Hann-Huei Tsai:
32.6 A Dynamic-RON-Diminished Bidirectional GaN Load Switch with Inrush Current Protection and Spike Attenuation. 538-540 - Gichan Yun, Haidam Choi, Yoontae Jung, Jiho Myung, Sein Oh, Sohmyung Ha, Minkyu Je:
28.2 A 189.3dB-FoMs 14.5fJ/Conversion-Step Continuous-Time Noise-Shaping SAR Capacitance-to-Digital Converter. 1-3 - Chien-Yu Lu, Bo-Jr Huang, Min-Chieh Chen, Alfred Tsai, Eric Jia-Wei Fang, Yuju Cho, Rex Che-Yuan Liu, Ericbill Wang, You-Ming Tsao, Hugh Mair, Shih-Arn Hwang:
8.2: Run-Time Power Management System by on-Die Power Sensor with Silicon Machine Learning-Based Calibration in a 3nm Octa-Core CPU. 160-162 - Zongnan Wang, Bingrui Li, Jiajun Tang, Zhongyi Wu, Haoyang Luo, Yuan Wang, Xiyuan Tang:
A 184.8dB-FoMs 1.6MS/s Incremental Noise-Shaping Pipeline ADC with Single-Amplification-Based kT/C Noise Cancellation Technique. 1-3 - Han Zhao, Xuanhao Zhang, Qijin Deng, Jialin Hu, Zhenbing Li, Shiheng Yang, Jiaxin Liu:
18.1 A Fully Dynamic Noise-Shaping SAR ADC Achieving 120dB SNDR and 189dB FoMs in 1kHz BW. 306-308 - Jaewon Oh, Cheol So, Hyojun Kim, Songcheol Hong, SeongHwan Cho:
19.6 A 60GHz I/Q-Calibrated SSB-Mixer-Based LO with Sub-ns Settling Time and -56dBc Worst-Case Spur Using ILO Filter in 28nm CMOS. 334-336 - Xiaoteng Zhao, Yuhao Zhang, Hao Chang
, Yilong Dong, Chenxi Han, Zekai Yang, Zhicheng Dong, Rong Zhou, Shubin Liu, Zhangming Zhu:
A Reference-Less CDR Using SAR-Based Frequency-Acquisition Technique Achieving 55ns Constant Band-Searching Time and up to 63.64Gb/s/µs Acquisition Speed. 150-152 - Wenjie Yang, Zhiguo Tong, Junwei Huang, Rui Paulo Martins, Yan Lu:
A Bi-Directional Dual-Path Boost-48V-Buck Hybrid Converter for High-Voltage Power-Transmission Cable in Light-Weight Humanoid Robots. 194-196 - Asad Khamisy, Mohan Kalkunte, Peter Del Vecchio, Yokai Cheok, Greg Barsky, Karlheinz Muth, Reza Sharifi:
16.1 Tomahawk5: 51.2Tb/s 5nm Monolithic Switch Chip for AI/ML Networking. 282-284 - Young-Jun Jeon, Jeong-Hun Kim, Won-Gyu Kim, Sung-Wan Hong:
9.5 A Sub-1V, 50mV Dropout LDO Using Pseudo-Impedance Buffer with Phase-Margin Improvement Design. 186-188 - Mahmoud Hassan Kamel Hmada, Wen-Chin Brian Liu, Gaël Pillonnet, Patrick P. Mercier:
21.7 Merging Hybrid and Multi-Phase Topologies: A 6-Phase Triple-Step-Down DC-DC Converter Achieving up to a 60: 1 Voltage Conversion Ratio and 868A/cm3 Current Density. 386-388 - Yechan Park, Chul Kim, Minkyu Je:
A Wireless Adiabatic Stimulator System with Current-Mode Power Reception and Stimulus Current Regulation Achieving Precise Charge Delivery and Electrode Scalability for Miniaturized Electroceuticals. 1-3 - Qi'ao Huang, Dongfang Pan
, Zhengyu Chen, Lin Cheng:
32.4 A Dual-LC-Resonant Isolated DC-DC Converter Achieving 65.4% Peak Efficiency and Inherent Backscattering. 1-3 - Dan Shi, Ka-Meng Lei, Rui Paulo Martins, Pui-In Mak:
27.5: A 4, 100µm2 Wire-Metal-Based Temperature Sensor with a Fractional-Discharge FLL and a Time-Domain Amplifier with ±0.2°C Inaccuracy (3σ) from -40 to 125°C and 45fJ·K2 Resolution FoM in 28nm CMOS. 478-480 - Dexuan Huo, Yu-Hsien Lin, P. K. Shihabudeen, Jilin Zhang, Tao Li, Chi-Rong Chou, Zhihua Wang, Kea-Tiong Tang, Hong Chen:
20.2 A 67μW/Channel, 0.13nW/Synapse/b Nose-on-a-Chip for Noninvasive Diagnosis of Diseases with On-Chip Incremental Learning. 350-352 - Guansheng Lv, Wenhua Chen, Xiaofan Chen, Fei Huang, Zhenghe Feng:
5.1 A GaN SLCG-Doherty-Continuum Power Amplifier Achieving >38% 6dB Back-Off Efficiency Over 1.35 to 7.6GHz. 1-3 - Stefano Gallucci, Francesco Tesolin, Pietro Salvi, Daniele Lodi Rizzini, Riccardo Moleri, Francesco Buccoleri, Michele Rossoni, Giacomo Castoro, Simone Mattia Dartizio, Carlo Samori, Andrea Leonardo Lacaita, Salvatore Levantino:
34.3 A 4.75GHz Digital PLL with 45.8fs Integrated-Jitter and 257dB FoM Based on a Voltage-Biased Harmonic-Shaping DCO with Adaptive Common-Mode Resonance Tuning. 558-560 - Ming-Che Li, Archisman Ghosh, Risi Jaiswal, Lakshmi Anirudh Ghantasala, Behtash Behin-Aein, Shreyas Sen, Supriyo Datta:
12.2 p-Circuits: Neither Digital Nor Analog. 1-3 - Sijia Lu
, Wenping Zhu, Bohan Yang, Jiajun Yang, Tongwei Dai, Chen Chen
, Xiangdong Han, Jinjiang Yang, Hanning Wang, Min Zhu, Shaojun Wei, Aoyang Zhang, Leibo Liu:
17.2 A 28nm 4.05µJ/Encryption 8.72kHMul/s Reconfigurable Multi-Scheme Fully Homomorphic Encryption Processor for Encrypted Client-Server Computing. 1-3 - Quanrong Zhuang, Junyi Sun, Bo Li, Jie Lu, Xin Zhang, Yi Shi, Hao Qiu:
9.6 A 6.78MHz Single-Stage Regulating Rectifier with Dual Outputs Simultaneously Charged in a Half Cycle Achieving 92.2% Efficiency and 131mW Output Power. 188-190 - Seokhyeon Moon, Jonghang Choi, Jun-Eun Park:
A 10.5mW Automotive Touch AFE IC Featuring Radiated EMI Reduction Based on Pipelined Dual-Frequency Modulation and Sine2 Waveform Shaping for CISPR 25 Class 5 Compliance. 132-134 - Huizhen Jenny Qian, Wubo Qin, Chenxi Qiu, Yintang Yang:
5.9 A 21-to-31 GHz DPD-Less Quadrature RFDAC with Invariant Impedance and Scalable LO Leakage. 106-108 - Xiaofei Wang, Yusung Kim, Gwanghyeon Baek, Kunal Girish Bannore, Kaushal Dave, Arash Joushaghani, Narae Kang, Minwoo Ko, Anandkumar Mahadevan Pillai, Hema Chandra Prakash Movva, Gyusung Park, Muktadir Rahman, Seenivasan Subramaniam, Vinay Vashishtha, Teng Yang, Zheng Guo, Eric A. Karl:
29.2 A 0.021µm2 High-Density SRAM in Intel-18A-RibbonFET Technology with PowerVia-Backside Power Delivery. 494-496 - Pingcheng Dong, Yonghao Tan, Xuejiao Liu, Peng Luo, Yu Liu, Luhong Liang, Yitong Zhou, Di Pang, Manto Yung, Dong Zhang, Xijie Huang, Shih-Yang Liu, Yongkun Wu, Fengshi Tian, Chi-Ying Tsui, Fengbin Tu, Kwang-Ting Cheng:
A 28nm 0.22μJ/Token Memory-Compute-Intensity-Aware CNN-Transformer Accelerator with Hybrid-Attention-Based Layer-Fusion and Cascaded Pruning for Semantic-Segmentation. 1-3 - Ehung Chen, Henry Park, Mohammed Abdullatif, Miguel Gandara, Ahmed ElShater, Amr Khashaba, Shih-Hao Huang, Tsz-Bin Liu, Atharav Atharav, Joonyeong Lee, Qaiser Nehal, Mohamed Megahed, Yusang Chun, Cheng-En Shieh, Vidhan Jolly, SoonWon Kwon, Hsin-Ta Chien, Ke-Chung Wu, Cheng-En Liu, Peng Yan, Po-Jui Li, Chun-Han Chen, Tzu-Shun Lin, Pei-Chieh Liu, Tamer A. Ali:
7.1 A 212.5Gb/s DSP-Based PAM-4 Transceiver with 50dB Loss Compensation for Large AI System Interconnects in 4nm FinFET. 1-3 - Junseob So, Youngwook Kwon, Seungwoo Park, Seongcheol Kim, Changmin Sim, Hwaseok Shin
, Seonbeen Lee, Taehwan Kim, Chulwoo Kim:
22.5 A 0.3pJ/b 32Gb/s/Pin Single-Ended PAM-4 Receiver with a Delay-Less Capacitive-Feedback Equalizer. 1-3 - Ahmed Mostafa, A. Hassan, A. Hsu, A. K. Singh, C.-H. Wu, C.-R. Yang, D. Prabakaran, Daniel W. Storaska, D. Zhou, Davide Visani, Edmund Hsiao, F. Chu, F. Khan, Fei Lu, G. Cui, G. Wang, J. Natonio, J. Deng, J. Ding, J. Guo, J. Gu, J. Zang, L. Jiang, K.-M. Lu, Mehedi Hasan, M. Kelly, M. H. Kashani, M. Gambhir, M. R. Patoju, M. Singh, M. Shannon, M. Yang, P. Liu, I P. Ramakrishna, R. Chen, R. Ho, S. N. Shahi, S. Sivakumar, S. Xu, X. Yang, X. Han, Y.-P. Su, Z. Adal, Z. Guo, Z. Li, Z. Yu, Z. Yan, H. Wang, K. Chang:
7.2: A 2.2pJ/b 212.5Gb/s PAM-4 Transceiver with >46dB Reach in 5nm FinFET. 138-140 - Tsung-Yung Jonathan Chang, Yen-Huei Chen, K. Venkateswara Reddy, Nikhil Puri, Teja Masina, Kuo-Cheng Lin, Po-Sheng Wang, Yangsyu Lin, Chih-Yu Lin, Yi-Hsin Nien, Hidehiro Fujiwara, Ku-Feng Lin, Ming-Hung Chang, Ching-Wei Wu, Robin Lee, Yih Wang, Hung-Jen Liao, Quincy Li, Ping-Wei Wang, Geoffrey Yeap:
A 38.1Mb/mm2 SRAM in a 2nm-CMOS-Nanosheet Technology for High-Density and Energy-Efficient Compute. 492-494 - Xingyu Ma, Wei Li, Shijiao Dong, Ruiyu Lyu, Fan Chen, Yunyou Pu, Chuangguo Wang, Hongtao Xu:
A Compact Full-Duplex Receiver with Wideband Multi-Domain Hilbert-Transform-Equalization Cancellation Based on Multi-Stage APFs Achieving 65dB SIC Across 120MHz BW. 1-3 - Seongjin Oh, Hansol Kim, Hyunsu Kim, Gyeongho Namgoong, Woojin Park, Sangbeom Heo, Jaekyu Kim, Sungwoo Moon, Hyoung-Seok Oh, Hwayeal Yu:
9.8 A 50W 98%-Efficiency High-Power Wireless-Charging System with an Acoustic Noise-Reduced Ask Modulation Technique and Internal Hybrid Voltage-/Current-Mode ASK Demodulation. 430-432 - Jesse Moody, Tyler Liebsch, Patrick Finnegan, Stefan Lepkowski, Robert Costanzo, Mieko Hirabayashi, Matt Jordan, Travis Forbes, Christopher Nordquist:
25.4 A Micromachined Heterogeneously Integrated Active-Probe Enabling Non-Disruptive In-Situ Measurements from DC to 50GHz. 452-454 - Ahmed E. AbdelRahman, Mohamed Badr Younis, Mohamed Osama Selim, Mohamed Saad Aly, Mahmoud A. Khalil
, Pavan Kumar Hanumolu:
36.7 A 1.54pJ/b 64Gb/s 16-QAM Intradyne Coherent Optical Receiver in 28nm CMOS. 598-600 - Zakir K. Ahmed, Suhwan Kim, Charles Augustine, Harish K. Krishnamurthy, Krishnan Ravichandran, James W. Tschanz, Vivek De:
8.8 Fine-Grained Spatial and Temporal Thermal Profiling of a 16nm CMOS Buck Converter and SoC Load-Current Emulator Using Low-Voltage Micron-Scale Thermal Sensors. 172-174 - Changchun Zhou, Tianling Huang, Yanzhe Ma, Yuzhe Fu, Xiangjie Song, Siyuan Qiu, Jiacong Sun, Min Liu, Ge Li, Yifan He, Yuchao Yang, Hailong Jiao:
23.4 Nebula: A 28nm 109.8TOPS/W 3D PNN Accelerator Featuring Adaptive Partition, Multi-Skipping, and Block-Wise Aggregation. 412-414 - Liangbo Lei, Yanxiang Chen, Yijie Li
, Zhiliang Hong, Yumei Huang:
11.5 A 200MHz-BW Blocker-Tolerant Receiver with Fifth-Order Filtering Achieving 19dBm Adjacent-Channel IIP3. 222-224 - Yunseong Jo, Hyuntae Kim, Young Choi, Jaewoo Park, Myoungbo Kwak, Jaeduk Han:
22.4 A 32-to-50Gb/s/pin Single-Ended PAM-4 Transmitter with a ZQ-Based FFE and PAM-4 LSB DBI-DC Encoding. 1-3 - Jhuang-Wei Cyue, Tai-Haur Kuo:
3.1 A 121.3dB-DR, 115dB-PSNR, Digital-Input Capacitive-Feedback Class-D Audio Amplifier with Double-Sided Voltage-Boosting (DSVB) Modulation. 66-68 - David Wolpert, Gerry Strevig, Christopher J. Berry, Leon J. Sigal, Bill Huott, Mark Cichanowski, Matthias Pflanz, Tobias Werner, Philipp Salz, Nick Jinq, Michael Romain, Iris Leefken, Richard E. Serton, Rajesh Veerabhadraiah, Dureseti Chidambarrao, Robert Arelt, Matt Angyal, Ben Trombley, Arvind Haran, Stefan Hougardy, Ben Klotz, Rahul M. Rao:
37.1 IBM Telum II Processor Design-Technology Co-Optimizations for Power, Performance, Area, and Reliability. 606-608 - Aswin Chowdary Undavalli, Kareem Rashed, Gert Cauwenberghs, Shantanu Chakrabartty, Arun Natarajan, Aravind Nagulu:
A 4GS/s Fully Analog 256×256 MP-Based Cross-Correlator with 1000TOPS/W Compute Efficiency and 1.3TOPS/mm2 Compute Density in 22nm SOI CMOS. 448-450 - Soonwan Kwon, Sungmeen Myung, Jangho An, Hyunsoo Kim, Minjae Kim, Hyungwoo Lee, Wooseok Yi, Seungchul Jung, Daekun Yoon, Shinhee Han, Saeyoon Chung, Kilho Lee, Jeong-Heon Park, Kangho Lee, Sang Joon Kim, Donhee Ham:
37.3 Monolithic in-Memory Computing Microprocessor for End-to-End DNN Inferencing in MRAM-Embedded 28nm CMOS Technology with 1.1Mb Weight Storage. 1-3 - Jiawen Chen, Kai Xu, Teerachot Siriburanon, Robert Bogdan Staszewski:
19.4 An 8.1-to-9.9GHz Single-Core Pseudo-Series-Resonance Oscillator Achieving -128.7dBc/Hz PN at 1MHz. 1-3 - Yangyi Zhang, Zhenyu Yao, Wentao Zhou, Xiongshi Luo, Zhenghao Li, Dongshen Zhan, Quan Pan:
36.6 A 112Gb/s 0.61pJ/b PAM-4 Linear TIA Supporting Extended PD-TIA Reach in 28nm CMOS. 1-3 - Jihang Gao, Yaohui Luan, Siyuan Ye, Xinhang Xu, Zhuoyi Chen, Jiajia Cui, Ru Huang, Linxiao Shen:
18.3: A 93.3dB SNDR, 180.4dB FoMs Calibration-Free Noise-Shaping Pipelined-SAR ADC with Cross-Stage Gain-Mismatch-Error-Shaping Technique and Negative-R-Assisted Residue Integrator. 310-312 - Lingke Ding, Archisman Ghosh, Shreyas Sen:
20.11 A Crystal-Less BodyID with an Asynchronous Clockless Leakage-Powered Wake-Up Receiver and Over-the-Channel Clock Recovery. 368-370 - Jaewon Lee, Pier Andrea Francese, Matthias Brändli, Thomas Morf, Marcel A. Kossel, Seoyoung Jang, Gain Kim:
7.5 A 353mW 112Gb/s Discrete Multitone Wireline Receiver Datapath with Time-Based ADC in 5nm FinFET. 144-146 - Yuncheng Zhang, Zezheng Liu, Duo Li, Minzhe Tang, Yi Zhang, Hongye Huang, Dingxin Xu, Waleed Madany, Ashbir Aviat Fadila, Wenqian Wang, Yuang Xiong, Daxu Zhang
, Garry Pranata Kusuma, Hiroyuki Sakai, Kazuaki Kunihiro, Atsushi Shirane, Kenichi Okada:
5.6 A Power-Efficient CORDIC-Less Digital Polar Transmitter Using 1b DSM-Based PA Supporting 256-QAM. 100-103 - Ji Jin, Ruoshu Yang, Weiwei Xu, Lin Cheng:
9.11 A 98.3%-Peak-Efficiency Single-Mode Hybrid Buck-Boost Converter with 7mV Maximum Output Ripple for Li-Ion Battery Management. 198-200 - Xinyu Shen, Zhao Zhang, Yixi Li, Junjie Chen, Xiangjian Kong, Nan Qi, Jian Liu, Nanjian Wu, Liyuan Liu:
19.8 A 0.65V-VDD 10.4-to-11.8GHz Fractional-N Sampling PLL Achieving 73.8fsrms Jitter, -271.5dB FoMN, and -61 dBc in-Band Fractional Spur in 40nm CMOS. 1-3 - Jaehoon Heo, Adiwena Putra, Sungwoong Yune, Jieon Yoon, Hangyeol Lee, Jihoon Kim, Joo-Young Kim:
23.10 HuMoniX: A 57.3fps 12.8TFLOPS/W Text-to-Motion Processor with Inter-Iteration Output Sparsity and Inter-Frame Joint Similarity. 424-426 - Raghu Prabhakar, Junwei Zhou, Darshan Gandhi
, Youngmoon Choi, Mahmood Khayatzadeh, Kyunglok Kim, Uma Durairajan, Jeongha Park, Satyajit Sarkar, Jinuk Luke Shin:
16.4: SambaNova SN40L: A 5nm 2.5D Dataflow Accelerator with Three Memory Tiers for Trillion Parameter AI. 288-290 - Marco Saif, Fuze Jiang, Shao-Wei Lo, Adam Wang, Zhikai Huang, Jiachen Wang, Hangxing Liu, Chih-Jen Shih, Thomas Burger, Hua Wang:
20.4 MEMS-Free 4096-Pixel CMOS E-Nose Gas-Sensor Array with Molecular-Selective Metal-Organic-Framework Sensing and In-Pixel Thermodynamic Modulation for Fast Sensor Regeneration. 1-3 - Changhuei Yang:
Reversing Scattering to Perform Deep-Tissue Optical Imaging and the Current Need for a Suitable Optoelectronic Solution. 229-231 - Jooseok Lee, Hansik Oh, Seungjae Baek, Seungwon Park, Dongsoo Lee, Sehyug Jeon, Taewan Kim, Joonho Jung, Sung-Gi Yang:
5.4 A 22nm FDSOI CMOS-Based Compact 3-Stack Doherty Power Amplifier with a Stacked OPA-Based Bias Scheme Achieving >16.5dBm Pavg for 5G FR2 Applications. 96-98 - Zhiguo Tong, Zhewen Yu, Junwei Huang, Xiangyu Mao, Bernhard Wicht
, Rui Paulo Martins, Yan Lu:
HOOP: A Scalable Hybrid DC-DC Converter Ring for High-Performance Computing. 1-3 - Hui Zhang, Longyang Lin, Dingyi Xiong, Massimo Bruno Alioto:
17.1: Sensor-Less Laser Voltage-Probing Attack Detection via Run-Time-Leakage-Shift Monitoring with 4.35% Area Overhead. 292-294 - Sheng Zhou, Zixiao Li
, Tobi Delbrück, Kwantae Kim
, Shih-Chii Liu:
An 8.62μW 75dB-DRSoC End-to-End Spoken-Language-Understanding SoC With Channel-Level AGC and Temporal-Sparsity-Aware Streaming-Mode RNN. 238-240 - Srivatsa Rangachar Srinivasa, Dileep Kurian, Paolo A. Aseron, Prerna Budhkar, Arun Radhakrishnan, Alejandro Cardenas Lopez, Jainaveen Sundaram, Vinayak Honkote, Leonid Azarenkov, Dan Lake, Jaykant Timbadiya, Mikhail J. Moiseev, Brando Perez Esparza, Ronald Kalim, Erika Ramirez Lozano, Mukesh Bhartiya, Sriram Muthukumar, Satish Yada, Sureshbabu Kadavakollu, Saransh Chhabra, Kamakhya Prasad Sahu, James Greensky, Xavier Brun, Esdras Juarez Hernandez, Robert Munoz, Thomas P. Thomas, Shawna Liff, Vivek De, Anuradha Srinivasan, Tanay Karnik:
A 300MB SRAM, 20Tb/s Bandwidth Scalable Heterogenous 2.5D System Inferencing Simultaneous Streams Across 20 Chiplets with Workload-Dependent Configurations. 50-52 - Kyeongwon Jeong, Can Livanelioglu, Jiawei Liao, Inhee Lee, Taekwang Jang:
A 3.47 NEF 175.2dB FOMs Direct Digitization Front-End Featuring Delta Amplification for Enhanced Dynamic Range and Energy Efficiency in Bio-Signal Acquisition. 276-278 - Haoran Li, Jinge Li, Xueying Jiang, Xi Meng, Jun Yin, Rui Paulo Martins, Pui-In Mak:
19.7 A 27GHz Fractional-N Sub-Sampling PLL Achieving 57.9fsrms Jitter, -249.7dB FoM, and 1.98µS Locking Time Using Polarity-Reversible SSPD. 1-3 - Bjoern Driemeyer, Holger Mandry, David-Peter Wiens, Joachim Becker, John G. Kauffman, Maurits Ortmanns:
An Eye-Opening Arbiter PUF for Fingerprint Generation Using Auto-Error Detection for PVT-Robust Masking and Bit Stabilization Achieving a BER of 2e-8 in 28nm CMOS. 300-302 - Yi Zhuo, Hangyu Lu, Ding Ma, Zheng Zhou, Linxiao Shen, Yacong Zhang, Zhongjian Chen, Xixin Cao, Yimao Cai, Ning Li, Wengao Lu:
6.6 A 320×256 6.9mW 2.2mK-NETD 120.4dB-DR LW-IRFPA with Pixel-Paralleled Light-Driven 20b Current-to-Phase ADC. 124-126 - Botao Yang, Nayu Li, Yiwei Liu, Hang Lu, Huiyan Gao, Shaogang Wang, Jingwen Xu, Xuanyu He, Na Yan, Qun Jane Gu, Chunyi Song, Zhiwei Xu:
A 17.7-to-29.5GHz Transceiver Front-End with 3.3dB NF and 20.2dBm OP1dB in 65nm CMOS. 1-3 - Ahmed Elmenshawi, Sriram Muralidharan, Mona Mostafa Hella:
33.5 A 224GHz 19.9% TR Varactor-Less VCO Utilizing a Multi-Section Switch-Loaded Coupled-Line Resonator. 1-3 - Yingjie Li, Yifei Zhang, Haichuan Lin, Cheng Wang:
13.4: Xiling: Cryo-CMOS 18-bit Dual-DAC Manipulator with 4.6µV Precision and 4.1nV/Hz0.5 Noise Co-Integrated with the Single Electron Transistor at 60mK. 242-244 - Xiaohan Zhang, Ruizhe Wang, Qiang Zhou, Hao Guo, Chuan Shi, Taiyun Chi:
26.4 A 24-to-29GHz Compact Transmit/Receive Front-End Module Featuring an Asymmetric Doherty Power Amplifier and 0.22mm2 Area. 1-3 - Peng Guo, Zu-Yao Chang, Michiel A. P. Pertijs, Tiago L. Costa:
A Single-Inductor-Based High-Voltage Transmit Beamformer for Wearable Ultrasound Devices Achieving 88% fCV2 Power Reduction. 1-3 - Jianxiong Xu, Mustafa A. Kanchwala, Mohammad Abdolrazzaghi, Hanfeng Cai, Yu Huang, Junyu Ma, Chae Lim, Lingyun Xu, Shucheng Gong, Weian Deng, Qiaosong Deng, Jin Che, Sudip Nag, Joshua Olorocisimo, Rhianna Singh, Yanze Wang, José B. Sales Filho, Mandana Mohaved, Homeira Moradi, George V. Eleftheriades, Taufik A. Valiante, Roman Genov:
15.5 Event-Based Spatially Zooming Neural Interface IC with 10nW/Input Reconfigurable-Inverter Fabric and Input-Adaptive Quantization. 274-276 - Can Livanelioglu, Long He, Jiang Gong, Sina Arjmandpour, Gabriele Atzeni, Taekwang Jang:
19.10 A 4.6GHz 63.3fsrms PLL-XO Co-Design Using a Self-Aligned Pulse-Injection Driver Achieving -255.2dB FoMJ Including the XO Power and Noise. 342-344 - Runtao Huo, Tong Zhang, Weihao Jie, Yanling Zheng, Deyong Li, Li Gao, Yang Zhao, Honglan Jiang, Yongfu Li, Patrick P. Mercier, Hui Wang:
4.2 A 1.8-to-3.0GHz Fully Integrated All-In-One CMOS Frequency Management Module Achieving -47/+42ppm Inaccuracy from -40 to 95°C and -150/+70ppm After Accelerated Aging. 78-80 - Sangsu Jeong, Sungjin Park, Mingoo Seok, Dongsuk Jeon:
A 28nm 18.1µJ /Acquisition End-to-End GPS Acquisition Accelerator with Energy-Accuracy-Driven Mixed-Radix IFFT and ROM-Assisted Computing. 1-3 - Yiqi Jing, Jiaqi Zhou, Yiyang Sun, Siyuan He, Peiyu Chen, Ru Huang, Le Ye, Tianyu Jia:
37.6 A 22nm 60.81TFLOPS/W Diffusion Accelerator with Bandwidth-Aware Memory Partition and BL-Segmented Compute-in-Memory for Efficient Multi-Task Content Generation. 1-3 - Cheng-En Hsieh, Gabriele Manganaro, Sheng-Hui Liao, Jack Weng, Tsun-Yuan Fan, Alec Chin, Tsung-Chih Hung, Jonathan X. Wu, Chi-Lun Lo, Andy Pan, Ming-Hang Hsieh, Yun-Shiang Shu, Wei-Hsin Tseng, Kuan-Dar Chen:
24.6 A Power- and Area-Efficient 4nm Self-Calibrated 12b/16GS/s Hierarchical Time-Interleaving ADC. 438-440 - Gerald Strevig, Christopher J. Berry, Rahul M. Rao, Noam Jungmann, Michael A. Sperling, Michael J. Becht, Eduard Herkel, Matthias Pflanz, Patrick J. Meaney, Michael Romain, Mark Cichanowski, Amanda Venton, David Wolpert, Elazar Kachir, Luke Hopkins, Tim E. Bubb, Andreas Arp, Daniel Kiss, Simon Büchsenstein, Michael H. Wood, Michael Spear, Robert J. Sonnelitter, Rajiv Joshi:
2.2 IBM Telum II: Next Generation 5.5GHz Microprocessor with On-Die Data Processing Unit and Improved AI Accelerator. 1-3 - An Guo, Jingmin Zhang, Xingyu Pu, Yi Yang, Defa Wu, Yuchen Tang, Yuhui Shi, Yinghai Gao, Zhichao Liu, Bo Wang, Tianzhu Xiong, Zhaoyang Zhang, Xi Chen, Jinwu Chen, Feiran Liu, Xing Wang, Xinning Liu, Weiwei Shan, Bo Liu, Hao Cai, Xin Si, Jun Yang:
14.7 NeuroPilot: A 28nm, 69.4fJ/node and 0.22ns/node, 32×32 Mimetic-Path-Searching CIM-Macro with Dynamic-Logic Pilot PE and Dual-Direction Searching. 1-3 - Zihan Wu, Xiyuan Tang, Tao Zhang, Lishan Lin, Haoyang Luo, Bocheng Xu, Zhongyi Wu, Jiahao Song, Yitao Liang, Xiaochen Bo, Yuan Wang:
37.5 SKADI: A 28nm Complete K-SAT Solver Featuring Dual-Path SRAM-Based Macro and Incremental Update with 100% Solvability. 614-616 - Jiho Lee, Hyun-Sik Kim:
A Biased-SECE Interface for Piezoelectric Energy Harvesting with Geometric-Mean-Computational MPPT Achieving 99.9% MPPT Efficiency, 8.75Cycles/ΔVOC Tracking, and 9.3x Energy Extraction. 1-3 - Dieuwert Peter Nicolaas Mul, Rob Bootsman, Mohammadreza Beikmirza, Ossama El Boustani, Yiyu Shen, Daniel Maassen, Bart van Velzen, Mohadig Rousstia, Ronald Koster, John R. Gajadharsing, Thomas Fritzsch, Morteza S. Alavi, Leo C. N. de Vreede:
5.8 A 20W CMOS/LDMOS All-Digital Transmitter with Dynamic Retiming and Glitch-Free Phase Mapper, Achieving 68%/62% Peak Drain/System Efficiency. 104-106 - Kishan Joshi, Avinash Shreepathi Bhat, Christopher Schaef, Keng Chen
, Edward Lee, Yura Kocharyan, Ajay Janardanan, Dinesh Ganta, Huanhuan Zhang, Maximilian Geppert, Prescott McLaughlin, Arvind Raghavan, Sivaraman Masilamani, Syed Askari, Kelly Livingston:
21.5 A Fully Integrated Multi-Phase Voltage Regulator with Enhanced Light-Load-Efficiency Peak of 86%, Featuring an Autonomous Mode Transition from Hard-Switching to Soft-Switching to Discontinuous Conduction Mode in 3nm FinFET CMOS. 382-384 - Donghyeon Han, Anantha P. Chandrakasan:
MEGA.mini: A Universal Generative AI Processor with a New Big/Little Core Architecture for NPU. 1-3 - Renjie Tang, Kanan Wang, Shuyi Xiang, Yu Su, Chenyao Cao, Yukun He, Xiaoyan Gui:
36.5 A Low-Latency 200Gb/s PAM-4 Heterogeneous Transceiver in 0.13μm SiGe BiCMOS and 28nm CMOS for Retimed Pluggable Optics. 594-596 - Fuzhan Chen
, C. Patrick Yue, Quan Pan:
A 100Gbaud 4Vppd Distributed Linear Driver with Cross-Folded Transmission Lines and Cross-Coupled Gm Cells for Built-in 5-Tap FFE in 0.13µm SiGe BiCMOS. 1-3 - Yifan Jiang, Yan Lu, Tiantian Tang, Junmin Jiang:
9.10 A 93%-Peak-Efficiency Battery-Input 12-to-36V-Output Inductor-in-the-Middle Hybrid Boost Converter with Continuous Input and Output Currents and Fast Transient with No RHP Zero. 196-198 - Bram Veraverbeke, Filip Tavernier:
18.8 A Cryo-CMOS 800MS/s 7b CI-SAR with only 4fF Input Capacitance and 64dB SFDR. 1-3 - Xinjie Wu, Yuyan Liu, Xiaopeng Yu, Nianxiong Nick Tan, Zhong Tang:
27.3 A Sub-1V 14b 5.8nW/Hz BW/Power-Scalable CT Sensor Interface with a Frequency-Controlled Current Source Achieving a 225× Scalable Range. 1-3 - Boyang Zhang, Tianchen Ye, Shuaizhe Ma, Tianyuan Zhong, Xin Liu, Feiyang Zhang, Bingyi Ye, Dan Li, Weixin Gai:
A 50Gb/s Burst-Mode NRZ Receiver with 5-Tap FFE, 7-Tap DFE and 15ns Lock Time in 28nm CMOS for Symmetric 50G-PON. 1-3 - Anjali Agrawal, Zhenghong Chen, Braden E. Desman, Jinhua Wang, Akiyoshi Tanaka, Fahim Foysal, Charlie D. Hess, Will Farrell, Jim Owens, Daniel S. Truesdell, Benton H. Calhoun:
37.2 A 2-Dimensional mm-Scale Network-on-Textiles (kNOTs) for Wearable Computing with Direct Die-to-Yarn Integration of 0.6×2.15mm2 SoC and bySPI Chiplets. 608-610 - Shih-Wei Hsieh, Chia-Hung Yuan, Ming-Hung Lin, Ping-Yuan Tsai, You-Yu Nian, Chia-Yuan Cheng, Hung-Wei Chih, Po-Han Chiang, Ming-Hsuan Chiang, Yuan-Jung Kuo, Yu-Wei Wu, Yi-Syuan Chen, Po-Heng Chen, Sandy Huang, Ming-En Shih, Chia-Ping Chen, Abrams Chen, Shen-Kai Chang, Chih-Ming Wang, Po-Yu Yeh, Jett Liu, Yung-Chang Chang, Chung-Yi Chen, Chi-Cheng Ju, Chi-Hui Wang, Yucheun Kevin Jou:
23.5 MAE: A 3nm 0.168mm2 576MAC Mini AutoEncoder with Line-Based Depth-First Scheduling for Generative AI in Vision on Edge Devices. 414-416 - Teja Singh, Spence Oliver, Sundar Rangarajan, Shane Southard, Carson Henrion, Alex Schaefer, Brett Johnson, Sarah Bartaszewicz Tower, Kathy Hoover, Deepesh John, Ted Antoniadis, Shravan Lakshman, Vibhor Mittal, Brian Kasprzyk, Ross McCoy, Kurt Mohlman, Anitha Mohan, Hon-Hin Wong, Daryl Lieu, Russell Schreiber, Sahilpreet Singh, Nick Lance, Darryl Prudich, Justin Coppin, Tim Jackson, Anita Karegar, Ryan Miller, Sabeesh Balagangadharan, James Pistole, Wilson Li, Michael McCabe:
"Zen 5": The AMD High-Performance 4nm x86-64 Microprocessor Core. 1-3 - Heesung Shim, Seung Sik Kim, Min-Woong Seo, Sangsu Park, Hyukbin Kwon, Yongjun Kim, Sanggwon Lee, Sungbong Park, Daehee Bae, Si Gyoung Koo, Masamichi Ito, Jae-hoon Jeon, Sol Yoon, Sung-Jae Byun, Sangyoon Kim, KwanSik Kim, Gihwan Cho, Joonho Lee, Tekyou Kim, Sungjae Jun, Jaekyu Lee, Chang-Rok Moon, Jaihyuk Song:
6.1 A 3-Stacked Hybrid-Shutter CMOS Image Sensor with Switchable 1.2µm -Pitch 50Mpixel Rolling Shutter and 2.4µm -Pitch 12.5Mpixel Global Shutter Modes for Mobile Applications. 1-3 - Dong-Hoon Jung, Dongha Lee, Seki Kim, Susie Kim, Min Young Kang, Takahiro Nomiyama, Dongsu Kim, Jongwoo Lee:
8.4 A 4GHz, 0.69%-Accuracy Voltage-Droop Detector with Multiple Remote Sensing and Under 2-Cycle Detection Latency in 2nm GAAFET. 164-166 - Yan Yu, Deng Luo, Jianjun Chen, Yang Guo, Bin Liang, Yaqing Chi, Hanhan Sun, Jing Xiao, Hao Gao, Ming Tao, Kai Tang:
A 0.024mm2 All-Digital Fractional Output Divider with 257fs Worst-Case Jitter Using Split-DTC-Based Background Calibration. 1-3 - Ying Liu, Jie Li, Qining Zhang, Tianhao Zhao, Chenhao Shi, Ninghui Shang, Peiyu Chen, Xiaohuan Ge, Yufei Ma, Linxiao Shen, Zhixuan Wang, Ru Huang, Le Ye:
13.1 A 0.22mm2 161nW Noise-Robust Voice-Activity Detection Using Information-Aware Data Compression and Neuromorphic Spatial-Temporal Feature Extraction. 1-3 - Zhuoyi Chen, Siyuan Ye, Jihang Gao, Jie Li, Jiajia Cui, Xinhang Xu, Yaohui Luan, Ru Huang, Linxiao Shen:
An Easy-Drive 16MS/s Pipelined-SAR ADC Using Split Coarse-Fine Input-Buffer-Sampling Scheme and Fast Robust Background Inter-Stage Gain Calibration. 1-3 - Floris J. P. van Mourik, Sining Pan, Karen M. Dowling, Kofi A. A. Makinwa:
27.2 A Voltage-Biased CMOS Hall Sensor with 1.0sµT(3σ) Offset and a 60nT/√Hz Noise-Floor. 1-3 - Basem Abdelaziz Abdelmagid, Yuqi Liu, Hua Wang:
33.4: A Wideband Bidirectional Calibration-Free Frequency/Switching-Staggering 360° D-Band Phase Shifter with Frequency-Invariant Codes Achieving lt; 2.38°/0.63dB RMS-Errors Over 24% Bandwidth. 548-550 - Runqing Cai, Muhanmad Dilawar Khan Niazi, Yucheng Ai, Jin Zhu, Linsheng Wu, Xuyang Lu:
20.6 Fully Integrated Self-Propelling Microrobot in 180nm CMOS with Sub-GHz Parity-Time-Symmetry On-Chip Energy Harvesting and Traveling Wave Electroosmosis Actuation. 1-3 - Hao Guo, Yaolong Hu, Taiyun Chi:
34.4 A 9.05-to-37.0GHz LO Generator with Magnetic Mode Switching and Tuning-Free Octave-Bandwidth Common-Mode Resonator Achieving >190.7dBc/Hz FoM. 560-562 - Fei Song, Zexue Liu, Danping Li, Jiayi Ye, Haopei Deng, Yi Tan, Zherui Zhang, Jingchen Tao, Shenghao Sun, Lei Wang, Xu Wan, Yuhang Jiang, Haochen Zhu, Jiayoon Ru, Jinghua Zhang, Jianhong Xiao:
A 28nm Multimode Multiband RF Transceiver with Harmonic-Rejection TX and Spur-Avoidance RX Supporting LTE Cat1bis. 210-212 - Hyuk-Jin Lee, Kyunghoon Pyo, Taekwang Jang, Mingoo Seok, SeongHwan Cho:
37.8 A 13.5µW 35-Keyword End-to-End Keyword Spotting System Featuring Personalized On-Chip Training in 28nm CMOS. 620-622 - Guangdong Wu, Yuanliang Li, Bingyi Ye, Fangzhu Li, Xin Liu, Haowei Niu, Ruixu Wang, Dunshan Yu, Weixin Gai:
25.5 A 99.5mW/Port DC-to-40GHz Integrated Channel Analyzer for High-Density Signal Integrity Measurement in 28nm CMOS. 454-456 - Jianqiang Jiang, Lei Zhao, Junyao Tang, Cheng Huang:
A Single-Link Multi-Domain-Output (SLiMDO) Isolated DC-DC Converter with Passive Magnetic Flux Sharing for Local Energy Distribution and Rx Behavior Sensing-Based Global Power Modulation. 530-532 - Chao Chen, Wenchen Xiang, Yan Zhao, Xiaodong Su, Jiuchun Chen, Jun Yang:
11.4 A Gm-C RF Quadrature-Current-Generation Technique with 40dB IRR in 0.65V 2mW Multi-Mode CMOS GNSS Receiver. 1-3 - Bingrui Li, Zilong Shen, Haoyang Luo, Jiachang Yang, Zongnan Wang, Yuan Wang, Xiyuan Tang:
A 185.2dB-FoMs 8.7aFrms Zoomed Capacitance-to-Digital Converter with Chopping-Based kT/C Noise Cancellation and Add-Then-Subtract Phase-Domain Lead-Compensation Technique. 486-488 - Jaewoong Ahn, Seung Hun Choi, Junyeol An, Minseong Um, Hyung-Min Lee:
6.8 A Real-Time Pixel-Compensated Source-Driver IC with Dual-Slope Error Detection and Multi-Channel Time-Multiplexing Compensator for Compact OLED Displays. 128-130 - Simone Mattia Dartizio, Giacomo Castoro, Stefano Gallucci, Michele Rossoni, Riccardo Moleri, Francesco Tesolin, Pietro Salvi, Saleh Karman, Andrea Leonardo Lacaita, Salvatore Levantino:
34.2 A 380μW and -242.8dB FoM Digital-PLL-Based GFSK Modulator with Sub-20μs Settling Frequency Hopping for Bluetooth Low-Energy in 22nm CMOS. 1-3 - Junhua Shen, Wei-Hung Chen, Efram Burlingame, Stephen Weinreich, Michael Elliott, Stuart McCracken, Jack Kenney, Janet Brunsilius, Anil Korkmaz, Enrique Alvarez-Fontecilla, Nevena Rakuljic, Ushma Mehta, Ben Sullivan, Jeremy Scuteri, Bac Binh Luu, Mitchell Nichols, Dara Martin, Richard Sullivan, Daniel DeBolt, Ron Kapusta:
24.8 A 12GS/s 9b 16× Time-Interleaved SAR ADC in 16nm FinFET. 442-444 - Ying-Sheng Lin, Jun Nishimura, Chia-Hsiang Yang:
2.8: A 210fps Image Signal Processor for 4K Ultra HD True Video Super Resolution. 58-60 - Wooyoung Jo, Seongyon Hong, Jiwon Choi, Beomseok Kwon, Haoyang Sang, Dongseok Im, Sangyeob Kim, Sangjin Kim, Taekwon Lee, Hoi-Jun Yoo:
23.7 BROCA: A 52.4-to-559.2mW Mobile Social Agent System-on-Chip with Adaptive Bit-Truncate Unit and Acoustic-Cluster Bit Grouping. 418-420 - Shen Ye, Minge Jiang, Jiahao Wang, Shiqi Khang, Peng Cao, Zhiliang Hong, Jiawei Xu:
3.2 A 36V Current-Balancing Instrumentation Amplifier with ±24V Input Range, 5.6MHz BW, and 140dB CMRR at All Gain Settings. 1-3 - Yen-Po Lin, Yun-Cheng Jao, Wu-Hung Hsieh, Pen-Jui Peng:
7.6 A 2.06pJ/b 106.25Gb/s PAM-4 Receiver with 3-Tap FFE and 1-Tap Speculative DFE in 28nm CMOS. 146-148 - Xing Wang, Tianhui Jiao, Yi Yang, Shaochen Li, Dongqi Li, An Guo, Yuhui Shi, Yuchen Tang, Jinwu Chen, Zhican Zhang, Zhichao Liu, Bo Liu, Weiwei Shan, Xin Wang, Hao Cai, Wenwu Zhu, Jun Yang, Xin Si:
14.3 A 28nm 17.83-to-62.84TFLOPS/W Broadcast-Alignment Floating-Point CIM Macro with Non-Two's-Complement MAC for CNNs and Transformers. 254-256 - Yaohui Luan, Xinhang Xu, Jihang Gao, Jiajia Cui, Zhuoyi Chen, Siyuan Ye, Ru Huang, Linxiao Shen:
18.2 A 12.2μW 99.6dB-SNDR 184.8dB-FOMs DT Zoom PPD ΔΣM with Gain-Embedded Bootstrapped Sampler. 308-310 - Zhiheng Yue, Xujiang Xiang, Yang Wang, Ruiqi Guo, Huiming Han, Shaojun Wei, Yang Hu, Shouyi Yin:
14.4 A 51.6TFLOPs/W Full-Datapath CIM Macro Approaching Sparsity Bound and <-30 Loss for Compound AI. 1-3 - Hanzhang Cao, Sichen Gao, Jin Jin
, Xiaolong Liu, Wen Wu, Tongde Huang:
34.5 An 18.5-to-23.6GHz Quad-Core Class-F23 Oscillator Without 2nd/3rd Harmonic Tuning Achieving 193dBc/Hz Peak FoM and 140-to-250kHz 1/f3PN Corner in 65nm CMOS. 1-3 - Yu Huang, Bowen Liu, Yuhan Hou, Jianxiong Xu, Hao You, Ashley Hung, Swarnava Ghosh, Eric Liu, Naize Yang, Junyu Ma, Hanfeng Cai, Laura Kondrataviciute, Qiaosong Deng, Suneil K. Kalia, Andrew G. Richardson, Ping-Hsuan Hsieh, Roman Genov, Xilin Liu:
15.4 A Neuroprosthetic SoC with Sensory Feedback Featuring Frequency-Splitting-Based Wireless Power Transfer with 200Mb/s 0.67pJ/b Backscatter Data Uplink and Unsupervised Multi-Class Spike Sorting. 272-274 - Hieu Minh Pham
, Peter M. Asbeck, Donald F. Kimball, Navneet Sharma, Shenggang Dong, Masoud Shahshahani, Won-Suk Choi, Gary Xu, Marcus Michel, Ratul Das, Robert Beach, Hanh-Phuc Le:
A 74W/48V Monolithic-GaN Integrated Adjustable Multilevel Supply Modulator for 5G Base-Station Massive-MIMO Arrays. 1-3 - Yu Duan, Yan Zhu, Rui Paulo Martins, Chi-Hang Chan:
A PVT-Robust 5.5GHz Fractional-N Cascaded RO-Based Digital PLL with Voltage-Domain Feedforward Noise Cancellation. 324-326 - Yubin Qin, Yang Wang, Jiachen Wang, Zhiwei Lin
, Yushu Zhao, Shaojun Wei, Yang Hu, Shouyi Yin:
23.8 An 88.36TOPS/W Bit-Level-Weight-Compressed Large-Language-Model Accelerator with Cluster-Aligned INT-FP-GEMM and Bi-Dimensional Workflow Reformulation. 420-422 - Xiaoyu Feng, Hedi Wang, Chen Tang
, Tongda Wu, Huazhong Yang, Yongpan Liu:
1.78mJ/Frame 373fps 3D GS Processor Based on Shape-Aware Hybrid Architecture Using Earlier Computation Skipping and Gaussian Cache Scheduler. 1-3 - Daniel McMitchell, Simon Foster, Scott Block, Magnus Manson, Simon Quinn, Joel Sylvester:
8.9 An On-Cell Monitoring and Balancing System with Near-Field Communicationsfor EV Batteries. 174-176 - Longjie Zhong, Jinwen Zhang, Chengyue Li, Ling Wang, Mingsheng Zhong, Kangkang Cai, Ji Gao, Tiegang Hu, Zhangming Zhu:
27.1 A 3-Axis MEMS Gyroscope with 2.8ms Wake-Up Time Enabled by a 1.5µW Always-on Drive Loop. 470-472 - Xi Chen, Shaochen Li, Zhican Zhang, Wentao Zheng, Xiao Tan, Yuchen Tang, Yuhui Shi, Lizheng Ren, Yibo Mai, Feiran Liu, Jinwu Chen, Zhaoyang Zhang, An Guo, Tianzhu Xiong, Bo Wang, Xinning Liu, Weiwei Shan, Bo Liu, Hao Cai, Jun Yang, Xin Si:
14.6 A 28nm 64kb Bit-Rotated Hybrid-CIM Macro with an Embedded Sign-Bit-Processing Array and a Multi-Bit-Fusion Dual-Granularity Cooperative Quantizer. 260-262 - Yechan Park, Phan Dang Hung, Donghyun Youn, Daehyeon Kwon, Chul Kim, Minkyu Je:
An Enhanced-Frequency-Splitting-Based Wireless Power and Data Transfer System Achieving 60.2% End-to-End Efficiency and 1 Mb/s Data Rate with a Sub-cm RX Coil for Miniaturized Implants. 1-3 - Dongfang Pan
, Weiwei Xu, Litang Zhang, Qi'ao Huang, Lin Cheng:
32.5 A 2W 53.2%-Peak-Efficiency Multi-Core Isolated DC-DC Converter with Embedded Magnetic-Core Transformer Achieving CISPR-32 Class-B EMI Compliance and <5mV Ripple. 536-538 - Peter Schiefer:
The Crucial Role of Semiconductors in the Software-Defined Vehicle. 37-41 - Sang-Hoon Kim, Jaehyeok Baek, Moon-Chul Choi, Daewoong Lee, Donggun An, Se-Mi Kim, Yeonggeun Song, Minkyo Shim, Sung-Yong Cho, Dongha Lee, Gun-hee Cho, Inwoo Jun, Juseop Park, TaeYoon Lee, Hwan-Chul Jung, Chanyong Lee, Gil-Young Kang, Hye-Ran Kim, Jongmyung Lee, Young Su Joo, Hyo-Jin Jung, Bokyeon Won, Ji-Hak Yu, Sangkeun Han, Yechan Hwang, Chungman Kim, Seok-Jung Kim, YoungSeok Lee, Young-Tae Kim, Myeong-O. Kim, Wonhwa Shin, Tae-Young Oh, SangJoon Hwang:
30.3 A 24Gb 42.5Gb/s GDDR7 DRAM with Low-Power WCK Distribution, an RC-Optimized Dual-Emphasis TX, and Voltage/Time-Margin-Enhanced Power Reduction. 508-510 - Kosuke Hatsuda, Katsuhiko Hoya, Ryosuke Takizawa, Fumiyoshi Matsuoka, Takaya Yasuda, Akira Katayama, Tadashi Miyakawa, Kazuyo Senju, Kazuki Okawa, Yuka Furukawa, Yu Shimada, Katsuya Kotake, Sayaka Hirokawa, Min Chul Shin, Dong Keun Kim, Tae Ho Kim, Kyunghoon Kim, Hisanori Aikawa, Jeonghwan Song, Toshihiko Nagase, Soo Man Seo, Soo Gil Kim, Seonyong Cha:
30.6 A 64Gb DDR4 STT-MRAM Using a Time-Controlled Discharge-Reading Scheme for a .001681µm 1T-1MTJ Cross-Point Cell. 1-3 - Jeongbeom Seo, Yoonseo Cho, Yuhwan Shin, Jaehyouk Choi:
22.2: An 850µW 2-to-5GHz Jitter-Filtering and Instant-Toggling Injection-Locked Quadrature-Clock Generator for Low-Power Clock Distribution in HBM Interfaces. 396-398 - Changwenquan Song, Zehui Kang
, Chen Yu, Liang Wu:
34.6 A 47.3-to-58.4GHz Differential Quasi-Class-E Colpitts Oscillator Achieving 198.8dBc/Hz FoMT. 564-566 - Woojin Hong, Hyebong Ko, Jinwoo So, Woonhyung Heo, Yonghwan Cho, Jeongdu Yoo, Ho-Sung Son, Youngwoo Chung, Dong-Joon Kim, Youngwoo Park, Byeonghyeon Jin, Sungkyu Cho, Minkyu Kwon, Kyungmin Park, Daewoong Cho, Jung Wook Heo, Sungwoo Lee, Sungwoo Moon, Hyoung-Seok Oh, Hwayeal Yu:
A Dual-Input Bidirectional 3-Level Battery Charger with Coarse-Fine VCF Balancing and Wide VCR for Foldable Mobile Applications. 376-378 - Didem Turker Melek, R. Navinkumar, James Vandersand, Pyare Sarkar, BS Prakash, Adrian Leuciuc, Kevin Geary, Shaojun Ma, Chirag Mukesh Mehta, Shashi Jain, Basant Bothra, Pawan Sabharwal, Ranjan Vaish, Kirti Bhanushali, Yutong Ding, Craig Frost, John Annunziata, Krishanu Sadhu, Dimitris Kyritsis, Jeff Bostak, Ming Li, Steve Williams, Ken Chang:
A 0.29pJ/b 5.27Tb/s/mm UCIe Advanced Package Link in 3nm FinFET with 2.5D CoWoS Packaging. 590-592 - Hidehiro Fujiwara, Wei-Chang Zhao, Kinshuk Khare, Yi-Hsin Nien, Chih-Yu Lin, Cheng-Han Lin, Shan-Ru Liao, Kenta Torigoe, Shirleen Xia, Yuichiro Ishii, Yao-Yi Lin, Jhon-Jhy Liaw, Yen-Huei Chen, Hung-Jen Liao, Tsung-Yung Jonathan Chang:
29.5 A 3nm 3.6GHz Dual-Port SRAM with Backend-RC Optimization and a Far-End Write-Assist Scheme. 500-502 - Henry Park, Qaiser Nehal, Miguel Gandara, Atharav Atharav, Joonyeong Lee, Jengfeng Li, Tamer Ali:
7.4 A 112Gb/s DSP-Based PAM-4 Receiver with an LC-Resonator-Based CTLE for >52dB Loss Compensation in 4nm FinFET. 142-144 - Wanik Cho, Chanhui Jeong, Jongwoo Kim, Jongseok Jung, Keunseon Ahn, Jayoon Goo, Sangkyu Lee, Kayoung Cho, Tei Cho, Dauni Kim, Gwan Park, Yushin Ahn, Sooyeol Chai, Gwihan Ko, Sunyoung Jung, Eunwoo Jo, Taehun Park, Jinhyun Ban, Cheoljoong Park, Jae Hyun Park, Sanghoon Oh, Sojin Jeong, Youngjun Kwak, Kyungsoo Jeong, Jinyeop Kim, Minchol Shin, Eunho Yang, Taisik Shin, Youngil Kim, Jiseong Mun, Chanyang Ryu, Huihyeon Park, Changwan Ha, Jong Tai Park, Peng Zhang, Sooyong Park, Rezaul Haque, Hang Tian, Sunghwa Ok, Wonbeom Choi, Junyoun Lim, Dongkyu Yoon, Sechun Park, Wonsun Park, Kichang Gwon, Seungpil Lee, Hwang Huh, Woopyo Jeong, Jungdal Choi:
A 321-Layer 2Tb 4b/cell 3D-NAND-Flash Memory with a 75MB/s Program Throughput. 512-514 - Evangelos Dikopoulos
, Ying-Tuan Hsu
, Luke Wormald, Wei Tang, Zhengya Zhang, Michael P. Flynn:
25.1 A Physics-Inspired Oscillator-Based Mixed-Signal Optimization Engine for Solving 50-Variable 218-Clause 3-SAT Problems with 100% Solvability and 31.7μs Solution Time. 1-3 - Sining Pan, Xiaolong Zhang, Baoyi Zheng, Yihang Cheng, Hui Jiang, Huaqiang Wu:
28.4 A 143dB-Dynamic-Range 119dB-CMRR Capacitance-to-Digital Converter for High-Resolution Floating-Target Displacement Sensing. 1-3 - Hikmet Seha Ozturk, Julian Arenas, Carlos Tokunaga, Nasser A. Kurd, Visvesh Sathe:
8.7 A Dual VDD-Temperature Sensor Employing Sensor Fusion with 2.4°C, 9mV (±3σ) Inaccuracy in 65nm CMOS. 170-172 - Soumya Mahapatra, Mostafa M. Ayesh, Ce Yang
, Mayank Palaria, Shiyu Su, Aoyang Zhang, Mike Shuo-Wei Chen:
26.1: A 24GHz Direct Digital Transmitter Using Multiphase Subharmonic Switching PA Achieving 3.2Gb/s Data Rate and -30.8dB EVM in 65nm CMOS. 458-460 - Hongxin Tang, Bingzheng Yang, Xun Luo:
5.7 A 4.7GHz, 27.7dBm Pout, 37.8% PAE, 5.8° AM-PM Distortion Polar SCPA Using In-Cell Fast Slope-to-Phase Self-Calibration and Asymmetrical 4-to-1 Differential Power-Combining Transformer. 102-104 - Junlin Zhong, Minglei Zhang, Yan Zhu, Rui Paulo Martins, Chi-Hang Chan:
24.3: A PVT-Robust 2× Interleaved 2.2GS/s ADC with Gated-CCRO-Based Quantizer Shared Across Channels and Steps Achieving >4.5GHz ERBW. 432-434 - Sena Kato, Jill C. Mayeda, Keito Yuasa, Michihiro Ide, Takeshi Ota, Shu Date, Yudai Yamazaki
, Xiaolin Wang, Xi Fu, Dongwon You, Makoto Higaki, Jumpei Sudo, Hiroshi Takizawa, Masashi Shirakura, Takashi Tomura, Hiroyuki Sakai, Kazuaki Kunihiro, Kenichi Okada, Atsushi Shirane:
11.1 A 256-Element Ka-Band CMOS Phased-Array Receiver Using Switch-Type Quadrature-Hybrid-First Architecture for Small Satellite Constellations. 1-3 - Bo Jiao, Haozhe Zhu, Yuman Zeng, Yongjiang Li, Jie Liao, Siyao Jia, Mochen Tian, Zexing Chen, Jundong Zhu, Dexin Wen, Yan Wang, Yu Wang, Jian Xu, Feng Wang, Jun Tao, Chixiao Chen, Qi Liu, Ming Liu:
37.4 SHINSAI: A 586mm2 Reusable Active TSV Interposer with Programmable Interconnect Fabric and 512Mb 3D Underdeck Memory. 1-3 - Basem Abdelaziz Abdelmagid, Boce Lin, Hua Wang:
10.3 A D-Band 2D-Scalable 4×4 Active Reflective Relay with Orthogonally Polarized on-Chip TX/RX Antennas and in-Front-End Common-Centroid Fast Azimuth/Elevation Angle-of-Arrival Detection. 206-208 - Hao Yu
, Lianbo Liu, Sensen Li:
A Blocker-Tolerant mm-Wave Low-Noise Amplifier Utilizing Doherty Active Load Modulation for Linearity Enhancement. 110-112 - Julian Arenas, Chi-Hsiang Huang, Kevin Patino-Sosa, Jung-Jin Park, Hikmet Seha Ozturk, Visvesh Sathe:
A Dynamically Reconfigurable Digital-Integrated Voltage-Regulator Fabric for Energy-Efficient DVFS in Multi-Domain soCs. 1-3 - Peng Wang
, Manyu Wang, Guangnan Dai, Yujia Cao, Sining Pan, Yihan Zhang:
4.3 A 0.36nW, 820µm2, 32kHz Conduction-Angle-Adaptive Crystal Oscillator in 28nm CMOS for Real-Time Clock Applications. 80-82 - Jonathan Zhou, Emir Ali Karahan, Sherif Ghozzy, Zheng Liu, Hossein Jalili, Kaushik Sengupta:
25.3 AI-Enabled Design Space Discovery and End-to-End Synthesis for RFICs with Reinforcement Learning and Inverse Methods Demonstrating mm-Wave/sub-THz PAs Between 30 and 120GHz. 1-3 - Yutian Zhao, Yiyang Song, Weiyan Gu, Shiyuan Yu, Zhehao Yu, Yuxiang Han, Xinen Zhu, Xuyang Lu:
Spatial-Temporal Direct-Digital Beamforming Power Amplifier with Enhanced Back-Off Efficiency in a 24GHz Phased Array. 1-3 - Ce Yang
, Shiyu Su, Mostafa M. Ayesh, Soumya Mahapatra, Maysara Hamada, Vinay Chenna, Hossein Hashemi, Mike Shuo-Wei Chen:
11.2 A Blocker-Tolerant Receiver with VCO-Based Non-Uniform Multi-Level Time-Approximation Filter with -36dB EVM in 28nm CMOS. 1-3 - Shunmin Jiang, Xinling Yue, Yuchen Ma, Chao Wang, Sijun Du:
A Rectifier-Less Piezoelectric Energy-Harvesting Interface with a Sense & Track MPPT Achieving Single-Cycle Convergence and 568% Shock Power Improvement. 522-524 - Kahyun Kim, Jung-Hun Park, Ha-Jung Park, Jia Park, Jihee Kim, Woo-Seok Choi:
22.1 A 0.275pJ/b 42Gb/s/pin Clock-Referenced PAM3 Transceiver Tolerant to Supply Noise, Reference Offset and Crosstalk for Chiplets and Short-Reach Memory Interfaces. 394-396 - Junyeol An, Seung Hun Choi, Jaewoong Ahn, Seunghoon Baek, Youngmin Kim, Si-Woo Kim, Jae-Yeol Lee, Yoon-Kyung Choi, Hyung-Min Lee:
A Compact 10b Source Driver IC with Delta-Sigma Pulse Width Modulation for Low-Voltage Digital Interpolation Achieving 1884μm2/Channel. 130-132 - Siyu Huang, Zhishuai Zhang, Xiyu He, Mingyang Gu, Yunsong Tao
, Yi Zhong, Nan Sun, Lu Jie:
A 70dB SNDR 80MHz BW Filter-Embedded Pipeline-SAR ADC Achieving 172dB FoMs with Progressive Conversion and Floating-Charge-Transfer Amplifier. 318-320 - Mingyang Gu, Yi Zhong, Lu Jie, Nan Sun:
24.1 A 12b 3GS/s Pipelined ADC with Gated-LMS-Based Piecewise-Linear Nonlinearity Calibration. 1-3 - Chi-Yu Chen, Tz-Wun Wang, Po-Jui Chiu, Yu-Ting Huang, Xiao-Quan Wu, Chien-Wei Cho, Sheng-Hsi Hung, Yu-Tse Shih, Ke-Horng Chen, Kuo-Lin Zheng, Ying-Hsi Lin, Shian-Ru Lin, Tsung-Yen Tsai, Hann-Huei Tsai:
32.3 An Accurate Secondary-Side Controller with GaN-8ased CGS Isolated Driver Achieving Sub-1% Error On-Chip Sensing. 532-534 - Ali Ameri, Jun-Chau Chien, Ali Niknejad:
20.10 A 200GHz 200-Pixel 2D Near-Field Imager for Biomedical Applications. 1-3 - Minghao Shang, Baochuang Wang, Changjin Chen, Ji Jin, Lin Cheng:
9.4 A 102ns/V 94.3%-Peak-Efficiency Symbol-Power-Tracking Supply Modulator for 5G NR Power Amplifiers. 1-3 - Jongbeom Baek, Ali Niknejad:
9.2 A 400MHz Symbol-Power-Tracking (SPT) Supply Modulator with SPT-Adaptive-Biasing Network Supporting 5G FR2 CMOS PA. 1-3 - Jiayang Li, Dai Jiang, Yu Wu, Andreas Demosthenous:
35.3 A 30MHz Wideband 92.7dB SNR 99.6% Accuracy Bioimpedance Spectroscopy IC Using Time-to-Digital Demodulation with Co-Prime Delay Locked Sampling. 572-574 - Yuchen Wei, Xinling Yue, Zhiyuan Chen, Sijun Du:
31.1 An Inductor-Less Capacitor-Less Synchronous Piezoelectric-Electromagnetic Hybrid Energy Harvesting Platform with Coil-Sharing Scheme. 1-3 - Yueduo Liu, Zihao Zhu, Xinyu Yang, Rongxin Bao, Zehao Zhang, Hongshuai Zhang, Jiaxin Liu, Zheng Wang, Mingkang Zhang, Na Yan, Jun Yin, Pui-In Mak, Shiheng Yang:
A 0.4μW/MHz Reference-Replication-Based RC Oscillator with Path-Delay and Comparator-Offset Cancellation Achieving 9.83ppm/°C from -40 to 125°C. 84-86

manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.