default search action
Li-Shiuan Peh
Person information
- affiliation: National University of Singapore, Department of Electrical and Computer Engineering, Singapore
- affiliation (2009 - 20016): Massachusetts Institute of Technology, Cambridge, USA
- affiliation (2002 - 2009): Princeton University, Department of Electrical Engineering, Princeton, NJ, USA
- affiliation (PhD 2001): Stanford University, CA, USA
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
showing all ?? records
2020 – today
- 2024
- [j45]Teck Lun Goh, Li-Shiuan Peh:
WalkingWizard - A Truly Wearable EEG Headset for Everyday Use. ACM Trans. Comput. Heal. 5(2): 9:1-9:38 (2024) - [j44]Burin Amornpaisannon, Andreas Diavastos, Li-Shiuan Peh, Trevor E. Carlson:
Secure Run-Time Hardware Trojan Detection Using Lightweight Analytical Models. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 43(2): 431-441 (2024) - [c110]Teck Lun Goh, Li-Shiuan Peh:
Demo: WalkingWizard - A Truly Wearable EEG Headwear for Everyday Use. CHASE 2024: 212-213 - [c109]Mohit Upadhyay, Rohan Juneja, Weng-Fai Wong, Li-Shiuan Peh:
NOVA: NoC-based Vector Unit for Mapping Attention Layers on a CNN Accelerator. DATE 2024: 1-6 - [i3]Mohit Upadhyay, Rohan Juneja, Weng-Fai Wong, Li-Shiuan Peh:
NOVA: NoC-based Vector Unit for Mapping Attention Layers on a CNN Accelerator. CoRR abs/2405.04206 (2024) - 2023
- [j43]Ayanga Imesha Kumari Kalupahana, Ananta Narayanan Balaji, Xiaokui Xiao, Li-Shiuan Peh:
SeRaNDiP: Leveraging Inherent Sensor Random Noise for Differential Privacy Preservation in Wearable Community Sensing Applications. Proc. ACM Interact. Mob. Wearable Ubiquitous Technol. 7(2): 61:1-61:38 (2023) - [j42]Ananta Narayanan Balaji, Li-Shiuan Peh:
AI-On-Skin: Towards Enabling Fast and Scalable On-body AI Inference for Wearable On-Skin Interfaces. Proc. ACM Hum. Comput. Interact. 7(EICS): 1-34 (2023) - [c108]Thilini Kaushalya Bandara, Dan Wu, Rohan Juneja, Dhananjaya Wijerathne, Tulika Mitra, Li-Shiuan Peh:
FLEX: Introducing FLEXible Execution on CGRA with Spatio-Temporal Vector Dataflow. ICCAD 2023: 1-9 - [c107]Bo Wang, Ming Ming Wong, Dongrui Li, Yi Sheng Chong, Jun Zhou, Weng-Fai Wong, Li-Shiuan Peh, Aarthy Mani, Mohit Upadhyay, Ananta Narayanan Balaji, Anh Tuan Do:
1.7pJ/SOP Neuromorphic Processor with Integrated Partial Sum Routers for In-Network Computing. ISCAS 2023: 1-5 - 2022
- [j41]Vanchinathan Venkataramani, Bruno Bodin, Aditi Kulkarni Mohite, Tulika Mitra, Li-Shiuan Peh:
ASCENT: Communication Scheduling for SDF on Bufferless Software-Defined NoC. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(10): 3266-3275 (2022) - [c106]Thilini Kaushalya Bandara, Dhananjaya Wijerathne, Tulika Mitra, Li-Shiuan Peh:
REVAMP: a systematic framework for heterogeneous CGRA realization. ASPLOS 2022: 918-932 - [c105]Mohit Upadhyay, Rohan Juneja, Bo Wang, Jun Zhou, Weng-Fai Wong, Li-Shiuan Peh:
REACT: a heterogeneous reconfigurable neural network accelerator with software-configurable NoCs for training and inference on wearables. DAC 2022: 1291-1296 - [c104]Bo Wang, Ke Dong, Nurul Akhira Binte Zakaria, Mohit Upadhyay, Weng-Fai Wong, Li-Shiuan Peh:
Network-on-Chip-Centric Accelerator Architectures for Edge AI Computing. ISOCC 2022: 243-244 - 2021
- [c103]Ananta Narayanan Balaji, Li-Shiuan Peh:
AI-on-skin: Enabling On-body AI Inference for Wearable Artificial Skin Interfaces. CHI Extended Abstracts 2021: 358:1-358:7 - [c102]Ahmed Shalaby, Yaswanth Tavva, Trevor E. Carlson, Li-Shiuan Peh:
Sentry-NoC: a statically-scheduled NoC for secure SoCs. NOCS 2021: 67-74 - 2020
- [j40]Vanchinathan Venkataramani, Aditi Kulkarni Mohite, Tulika Mitra, Li-Shiuan Peh:
SPECTRUM: A Software-defined Predictable Many-core Architecture for LTE/5G Baseband Processing. ACM Trans. Embed. Comput. Syst. 19(5): 32:1-32:28 (2020) - [c101]Bo Wang, Jun Zhou, Weng-Fai Wong, Li-Shiuan Peh:
Shenjing: A low power reconfigurable neuromorphic accelerator with partial-sum and spike networks-on-chip. DATE 2020: 240-245 - [c100]Vanchinathan Venkataramani, Bruno Bodin, Aditi Kulkarni Mohite, Tulika Mitra, Li-Shiuan Peh:
Time-Predictable Software-Defined Architecture with Sdf-Based Compiler Flow for 5g Baseband Processing. ICASSP 2020: 1553-1557 - [c99]Burin Amornpaisannon, Andreas Diavastos, Li-Shiuan Peh, Trevor E. Carlson:
Laser Attack Benchmark Suite. ICCAD 2020: 50:1-50:9
2010 – 2019
- 2019
- [c98]Bo Wang, Manupa Karunarathne, Aditi Kulkarni Mohite, Tulika Mitra, Li-Shiuan Peh:
HyCUBE: A 0.9V 26.4 MOPS/mW, 290 pJ/op, Power Efficient Accelerator for IoT Applications. A-SSCC 2019: 133-136 - [c97]Manupa Karunaratne, Dhananjaya Wijerathne, Tulika Mitra, Li-Shiuan Peh:
4D-CGRA: Introducing Branch Dimension to Spatio-Temporal Application Mapping on CGRAs. ICCAD 2019: 1-8 - [c96]Vanchinathan Venkataramani, Aditi Kulkarni Mohite, Tulika Mitra, Li-Shiuan Peh:
SPECTRUM: a software defined predictable many-core architecture for LTE baseband processing. LCTES 2019: 82-96 - [c95]Ananta Narayanan Balaji, Chen Yuan, Bo Wang, Li-Shiuan Peh, Huilin Shao:
pH Watch - Leveraging Pulse Oximeters in Existing Wearables for Reusable, Real-time Monitoring of pH in Sweat. MobiSys 2019: 262-274 - [c94]Ananta Narayanan Balaji, Chen Yuan, Bo Wang, Li-Shiuan Peh, Huilin Shao:
pH Watch - Leveraging Pulse Oximeters in Existing Wearables for Reusable, Real-time Monitoring of pH in Sweat. MobiSys 2019: 687-688 - [i2]Bo Wang, Jun Zhou, Weng-Fai Wong, Li-Shiuan Peh:
Shenjing: A low power reconfigurable neuromorphic accelerator with partial-sum and spike networks-on-chip. CoRR abs/1911.10741 (2019) - 2018
- [j39]Cheng Tan, Aditi Kulkarni Mohite, Vanchinathan Venkataramani, Manupa Karunaratne, Tulika Mitra, Li-Shiuan Peh:
LOCUS: Low-Power Customizable Many-Core Architecture for Wearables. ACM Trans. Embed. Comput. Syst. 17(1): 16:1-16:26 (2018) - [c93]Manupa Karunaratne, Cheng Tan, Aditi Kulkarni Mohite, Tulika Mitra, Li-Shiuan Peh:
Dnestmap: mapping deeply-nested loops on ultra-low power CGRAs. DAC 2018: 129:1-129:6 - [c92]Cheng Tan, Manupa Karunaratne, Tulika Mitra, Li-Shiuan Peh:
Stitch: Fusible Heterogeneous Accelerators Enmeshed with Many-Core Architecture for Wearables. ISCA 2018: 575-587 - 2017
- [b3]Natalie D. Enright Jerger, Tushar Krishna, Li-Shiuan Peh:
On-Chip Networks, Second Edition. Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers 2017, ISBN 978-3-031-00627-2 - [c91]Manupa Karunaratne, Aditi Kulkarni Mohite, Tulika Mitra, Li-Shiuan Peh:
HyCUBE: A CGRA with Reconfigurable Single-cycle Multi-hop Interconnect. DAC 2017: 45:1-45:6 - [c90]Bhavya K. Daya, Li-Shiuan Peh, Anantha P. Chandrakasan:
Low-Power On-Chip Network Providing Guaranteed Services for Snoopy Coherent and Artificial Neural Network Systems. DAC 2017: 87:1-87:6 - [c89]Tushar Krishna, Arya Balachandran, Siau Ben Chiah, Li Zhang, Bing Wang, Cong Wang, Kenneth Eng-Kian Lee, Jürgen Michel, Li-Shiuan Peh:
Automatic place-and-route of emerging LED-driven wires within a monolithically-integrated CMOS-III-V process. DATE 2017: 344-349 - 2016
- [j38]Bhavya K. Daya, Li-Shiuan Peh, Anantha P. Chandrakasan:
Towards High-Performance Bufferless NoCs with SCEPTER. IEEE Comput. Archit. Lett. 15(1): 62-65 (2016) - [j37]Kartik Sankaran, Akkihebbal L. Ananda, Mun Choon Chan, Li-Shiuan Peh:
Dynamic framework for building highly-localized mobile web DTN applications. Comput. Commun. 73: 56-65 (2016) - [c88]Cheng Tan, Aditi Kulkarni Mohite, Vanchinathan Venkataramani, Manupa Karunaratne, Tulika Mitra, Li-Shiuan Peh:
LOCUS: low-power customizable many-core architecture for wearables. CASES 2016: 11:1-11:10 - [c87]Bhavya K. Daya, Li-Shiuan Peh, Anantha P. Chandrakasan:
Quest for high-performance bufferless NoCs with single-cycle express paths and self-learning throttling. DAC 2016: 36:1-36:6 - [c86]Sunghyun Park, Alice Wang, Uming Ko, Li-Shiuan Peh, Anantha P. Chandrakasan:
Enabling simultaneously bi-directional TSV signaling for energy and area efficient 3D-ICs. DATE 2016: 163-168 - [c85]Jason H. Gao, Li-Shiuan Peh:
Automotive V2X on phones: Enabling next-generation mobile ITS apps. DATE 2016: 858-863 - [c84]Xiang-Fa Guo, Hande Hong, Mun Choon Chan, Li-Shiuan Peh:
Poster abstract: Long-term observation with passive Wi-Fi scanning. ICNP 2016: 1-2 - [c83]Jason H. Gao, Li-Shiuan Peh:
A smartphone-based laser distance sensor for outdoor environments. ICRA 2016: 2922-2929 - 2015
- [c82]Woo-Cheol Kwon, Li-Shiuan Peh:
A universal ordered NoC design platform for shared-memory MPSoC. ICCAD 2015: 697-704 - [c81]Michael Papamichael, Cagla Cakir, Chen Sun, Chia-Hsin Owen Chen, James C. Hoe, Ken Mai, Li-Shiuan Peh, Vladimir Stojanovic:
DELPHI: a framework for RTL-based architecture design evaluation using DSENT models. ISPASS 2015: 11-20 - 2014
- [j36]Wenjia Zhang, Bing Wang, Zhaomin Zhu, Kenneth Eng-Kian Lee, Jürgen Michel, Li-Shiuan Peh, Li Zhang, Soo-Jin Chua:
Ultralow-Power LED-Enabled On-Chip Optical Communication Designed in the III-Nitride and Silicon CMOS Process Integrated Platform. IEEE Des. Test 31(5): 36-45 (2014) - [j35]Tushar Krishna, Chia-Hsin Owen Chen, Woo-Cheol Kwon, Li-Shiuan Peh:
Smart: Single-Cycle Multihop Traversals over a Shared Network on Chip. IEEE Micro 34(3): 43-56 (2014) - [c80]Woo-Cheol Kwon, Tushar Krishna, Li-Shiuan Peh:
Locality-oblivious cache organization leveraging single-cycle multi-hop NoCs. ASPLOS 2014: 715-728 - [c79]Chia-Hsin Owen Chen, Sunghyun Park, Suvinay Subramanian, Tushar Krishna, Bhavya K. Daya, Woo-Cheol Kwon, Brett Wilkerson, John Arends, Anantha P. Chandrakasan, Li-Shiuan Peh:
SCORPIO: 36-core shared memory processor demonstrating snoopy coherence on a mesh interconnect. Hot Chips Symposium 2014: 1-20 - [c78]Huayong Wang, Li-Shiuan Peh:
MobiStreams: A Reliable Distributed Stream Processing System for Mobile Devices. IPDPS 2014: 51-60 - [c77]Bhavya K. Daya, Chia-Hsin Owen Chen, Suvinay Subramanian, Woo-Cheol Kwon, Sunghyun Park, Tushar Krishna, Jim Holt, Anantha P. Chandrakasan, Li-Shiuan Peh:
SCORPIO: A 36-core research chip demonstrating snoopy coherence on a scalable mesh NoC with in-network ordering. ISCA 2014: 25-36 - [c76]Pilsoon Choi, Jason H. Gao, Nadesh Ramanathan, Mengda Mao, Shipeng Xu, Chirn Chye Boon, Suhaib A. Fahmy, Li-Shiuan Peh:
A case for leveraging 802.11p for direct phone-to-phone communications. ISLPED 2014: 207-212 - [c75]Kartik Sankaran, Akkihebbal L. Ananda, Mun Choon Chan, Li-Shiuan Peh:
Dynamic framework for building highly-localized mobile web DTN applications. CHANTS@MobiCom 2014: 43-48 - [c74]Tushar Krishna, Li-Shiuan Peh:
Single-cycle collective communication over a shared network fabric. NOCS 2014: 1-8 - [c73]Kartik Sankaran, Minhui Zhu, Xiang-Fa Guo, Akkihebbal L. Ananda, Mun Choon Chan, Li-Shiuan Peh:
Using mobile phone barometer for low-power transportation context detection. SenSys 2014: 191-205 - [c72]Seth N. Hetu, Vahid Saber Hamishagi, Li-Shiuan Peh:
Similitude: Interfacing a Traffic Simulator and Network Simulator with Emulated Android Clients. VTC Fall 2014: 1-7 - 2013
- [j34]Tushar Krishna, Chia-Hsin Owen Chen, Sunghyun Park, Woo-Cheol Kwon, Suvinay Subramanian, Anantha P. Chandrakasan, Li-Shiuan Peh:
Single-Cycle Multihop Asynchronous Repeated Traversal: A SMART Future for Reconfigurable On-Chip Networks. Computer 46(10): 48-55 (2013) - [j33]Jacob Postman, Tushar Krishna, Christopher Edmonds, Li-Shiuan Peh, Patrick Chiang:
SWIFT: A Low-Power Network-On-Chip Implementing the Token Flow Control Router Architecture With Swing-Reduced Interconnects. IEEE Trans. Very Large Scale Integr. Syst. 21(8): 1432-1446 (2013) - [c71]Chia-Hsin Owen Chen, Sunghyun Park, Tushar Krishna, Suvinay Subramanian, Anantha P. Chandrakasan, Li-Shiuan Peh:
SMART: a single-cycle reconfigurable NoC for SoC applications. DATE 2013: 338-343 - [c70]Sunghyun Park, Masood Qazi, Li-Shiuan Peh, Anantha P. Chandrakasan:
40.4fJ/bit/mm low-swing on-chip signaling with self-resetting logic repeaters embedded within a mesh NoC in 45nm SOI CMOS. DATE 2013: 1637-1642 - [c69]Tushar Krishna, Chia-Hsin Owen Chen, Woo-Cheol Kwon, Li-Shiuan Peh:
Breaking the on-chip latency barrier using SMART. HPCA 2013: 378-389 - [c68]Kakali Basak, Seth N. Hetu, Zhemin Li, Carlos Lima Azevedo, Harish Loganathan, Tomer Toledo, Runmin Xu, Yan Xu, Li-Shiuan Peh, Moshe E. Ben-Akiva:
Modeling reaction time within a traffic simulation model. ITSC 2013: 302-309 - 2012
- [j32]Bin Li, Li-Shiuan Peh, Li Zhao, Ravi R. Iyer:
Dynamic QoS management for chip multiprocessors. ACM Trans. Archit. Code Optim. 9(3): 17:1-17:29 (2012) - [j31]Emmanouil Koukoumidis, Margaret Martonosi, Li-Shiuan Peh:
Leveraging Smartphone Cameras for Collaborative Road Advisories. IEEE Trans. Mob. Comput. 11(5): 707-723 (2012) - [j30]Andrew B. Kahng, Bin Li, Li-Shiuan Peh, Kambiz Samadi:
ORION 2.0: A Power-Area Simulator for Interconnection Networks. IEEE Trans. Very Large Scale Integr. Syst. 20(1): 191-196 (2012) - [c67]Sunghyun Park, Tushar Krishna, Chia-Hsin Owen Chen, Bhavya K. Daya, Anantha P. Chandrakasan, Li-Shiuan Peh:
Approaching the theoretical limits of a mesh NoC with a 16-node chip prototype in 45nm SOI. DAC 2012: 398-405 - [c66]Jason H. Gao, Anirudh Sivaraman, Niket Agarwal, HaoQi Li, Li-Shiuan Peh:
DIPLOMA: Consistent and coherent shared memory over mobile phones. ICCD 2012: 371-378 - [c65]George Kurian, Chen Sun, Chia-Hsin Owen Chen, Jason E. Miller, Jürgen Michel, Lan Wei, Dimitri A. Antoniadis, Li-Shiuan Peh, Lionel C. Kimerling, Vladimir Stojanovic, Anant Agarwal:
Cross-layer Energy and Performance Evaluation of a Nanophotonic Manycore Processor System Using Real Application Workloads. IPDPS 2012: 1117-1130 - [c64]Huayong Wang, Li-Shiuan Peh, Emmanouil Koukoumidis, Shao Tao, Mun Choon Chan:
Meteor Shower: A Reliable Stream Processing System for Commodity Data Centers. IPDPS 2012: 1180-1191 - [c63]Fai Cheong Choo, Mun Choon Chan, Akkihebbal L. Ananda, Li-Shiuan Peh:
A distributed taxi advisory system. ITST 2012: 199-204 - [c62]Chen Sun, Chia-Hsin Owen Chen, George Kurian, Lan Wei, Jason E. Miller, Anant Agarwal, Li-Shiuan Peh, Vladimir Stojanovic:
DSENT - A Tool Connecting Emerging Photonics with Electronics for Opto-Electronic Networks-on-Chip Modeling. NOCS 2012: 201-210 - [c61]Pravein Govindan Kannan, Padmanabha Venkatagiri Seshadri, Mun Choon Chan, Akkihebbal L. Ananda, Li-Shiuan Peh:
Low cost crowd counting using audio tones. SenSys 2012: 155-168 - 2011
- [j29]Bin Li, Li Zhao, Ravi R. Iyer, Li-Shiuan Peh, Michael Leddige, Michael Espig, Seung Eun Lee, Donald Newell:
CoQoS: Coordinating QoS-aware shared resources in NoC-based SoCs. J. Parallel Distributed Comput. 71(5): 700-713 (2011) - [j28]Rohit Sunkam Ramanujam, Vassos Soteriou, Bill Lin, Li-Shiuan Peh:
Extending the Effective Throughput of NoCs With Distributed Shared-Buffer Routers. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(4): 548-561 (2011) - [c60]Konstantinos Aisopos, Andrew DeOrio, Li-Shiuan Peh, Valeria Bertacco:
ARIADNE: Agnostic Reconfiguration in a Disconnected Network Environment. PACT 2011: 298-309 - [c59]Andrew DeOrio, Konstantinos Aisopos, Valeria Bertacco, Li-Shiuan Peh:
DRAIN: distributed recovery architecture for inaccessible nodes in multi-core chips. DAC 2011: 912-917 - [c58]Konstantinos Aisopos, Chia-Hsin Owen Chen, Li-Shiuan Peh:
Enabling system-level modeling of variation-induced faults in networks-on-chips. DAC 2011: 930-935 - [c57]Chia-Hsin Owen Chen, Sunghyun Park, Tushar Krishna, Li-Shiuan Peh:
A low-swing crossbar and link generator for low-power networks-on-chip. ICCAD 2011: 779-786 - [c56]Konstantinos Aisopos, Li-Shiuan Peh:
A systematic methodology to develop resilient cache coherence protocols. MICRO 2011: 47-58 - [c55]Tushar Krishna, Li-Shiuan Peh, Bradford M. Beckmann, Steven K. Reinhardt:
Towards the ideal on-chip fabric for 1-to-many and many-to-1 communication. MICRO 2011: 71-82 - [c54]Emmanouil Koukoumidis, Li-Shiuan Peh, Margaret Martonosi:
SignalGuru: leveraging mobile phones for collaborative traffic signal schedule advisory. MobiSys 2011: 127-140 - [c53]Emmanouil Koukoumidis, Li-Shiuan Peh, Margaret Martonosi:
Demo: SignalGuru: leveraging mobile phones for collaborative traffic signal schedule advisory. MobiSys 2011: 353-354 - [c52]Emmanouil Koukoumidis, Li-Shiuan Peh, Margaret Martonosi:
RegReS: Adaptively maintaining a target density of regional services in opportunistic vehicular networks. PerCom 2011: 120-127 - 2010
- [c51]Pradip Hari, John B. P. McCabe, Jonathan Banafato, Marcus Henry, Kevin Ko, Emmanouil Koukoumidis, Ulrich Kremer, Margaret Martonosi, Li-Shiuan Peh:
Adaptive spatiotemporal node selection in dynamic networks. PACT 2010: 227-236 - [c50]Tushar Krishna, Jacob Postman, Christopher Edmonds, Li-Shiuan Peh, Patrick Chiang:
SWIFT: A SWing-reduced interconnect for a Token-based Network-on-Chip in 90nm CMOS. ICCD 2010: 439-446 - [c49]Rohit Sunkam Ramanujam, Vassos Soteriou, Bill Lin, Li-Shiuan Peh:
Design of a High-Throughput Distributed Shared-Buffer NoC Router. NOCS 2010: 69-78 - [c48]Chia-Hsin Owen Chen, Niket Agarwal, Tushar Krishna, Kyung-Hoae Koo, Li-Shiuan Peh, Krishna Saraswat:
Physical vs. Virtual Express Topologies with Low-Swing Links for Future Many-Core NoCs. NOCS 2010: 173-180
2000 – 2009
- 2009
- [b2]Natalie D. Enright Jerger, Li-Shiuan Peh:
On-Chip Networks. Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers 2009, ISBN 978-3-031-01725-4 - [j27]Vassos Soteriou, Rohit Sunkam Ramanujam, Bill Lin, Li-Shiuan Peh:
A High-Throughput Distributed Shared-Buffer NoC Router. IEEE Comput. Archit. Lett. 8(1): 21-24 (2009) - [j26]Tushar Krishna, Amit Kumar, Li-Shiuan Peh, Jacob Postman, Patrick Chiang, Mattan Erez:
Express Virtual Channels with Capacitively Driven Global Links. IEEE Micro 29(4): 48-61 (2009) - [j25]Radu Marculescu, Ümit Y. Ogras, Li-Shiuan Peh, Natalie D. Enright Jerger, Yatin Vasant Hoskote:
Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28(1): 3-21 (2009) - [j24]Avinoam Kolodny, Li-Shiuan Peh:
Special Section on International Symposium on Networks-on-Chip (NOCS). IEEE Trans. Very Large Scale Integr. Syst. 17(3): 317-318 (2009) - [c47]Andrew B. Kahng, Bin Li, Li-Shiuan Peh, Kambiz Samadi:
ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration. DATE 2009: 423-428 - [c46]Niket Agarwal, Li-Shiuan Peh, Niraj K. Jha:
In-Network Snoop Ordering (INSO): Snoopy coherence on unordered interconnects. HPCA 2009: 67-78 - [c45]Niket Agarwal, Tushar Krishna, Li-Shiuan Peh, Niraj K. Jha:
GARNET: A detailed on-chip network model inside a full-system simulator. ISPASS 2009: 33-42 - [c44]Niket Agarwal, Li-Shiuan Peh, Niraj K. Jha:
In-network coherence filtering: snoopy coherence without broadcasts. MICRO 2009: 232-243 - [p1]Li-Shiuan Peh, Stephen W. Keckler, Sriram R. Vangal:
On-Chip Networks for Multicore Systems. Multicore Processors and Systems 2009: 35-71 - 2008
- [j23]David Yeh, Li-Shiuan Peh, Shekhar Borkar, John A. Darringer, Anant Agarwal, Wen-mei W. Hwu:
Thousand-Core Chips [Roundtable]. IEEE Des. Test Comput. 25(3): 272-278 (2008) - [j22]Yatin Vasant Hoskote, Radu Marculescu, Li-Shiuan Peh:
Guest Editors' Introduction: Tackling Key Problems in NoCs. IEEE Des. Test Comput. 25(5): 400-401 (2008) - [j21]Amit Kumar, Li-Shiuan Peh, Partha Kundu, Niraj K. Jha:
Toward Ideal On-Chip Communication Using Express Virtual Channels. IEEE Micro 28(1): 80-90 (2008) - [j20]Amit Kumar, Li Shang, Li-Shiuan Peh, Niraj K. Jha:
System-Level Dynamic Thermal Management for High-Performance Microprocessors. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(1): 96-108 (2008) - [c43]Noel Eisley, Li-Shiuan Peh, Li Shang:
Leveraging on-chip networks for data cache migration in chip multiprocessors. PACT 2008: 197-207 - [c42]Konstantinos Aisopos, Chien-Chun Chou, Li-Shiuan Peh:
Extending open core protocol to support system-level cache coherence. CODES+ISSS 2008: 167-172 - [c41]Tushar Krishna, Amit Kumar, Patrick Chiang, Mattan Erez, Li-Shiuan Peh:
NoC with Near-Ideal Express Virtual Channels Using Global-Line Communication. Hot Interconnects 2008: 11-20 - [c40]Amit Kumar, Niket Agarwal, Li-Shiuan Peh, Niraj K. Jha:
A system-level perspective for efficient NoC design. IPDPS 2008: 1-5 - [c39]Natalie D. Enright Jerger, Li-Shiuan Peh, Mikko H. Lipasti:
Virtual Circuit Tree Multicasting: A Case for On-Chip Hardware Multicast Support. ISCA 2008: 229-240 - [c38]Xuning Chen, Gu-Yeon Wei, Li-Shiuan Peh:
Design of low-power short-distance opto-electronic transceiver front-ends with scalable supply voltages and frequencies. ISLPED 2008: 277-282 - [c37]Natalie D. Enright Jerger, Li-Shiuan Peh, Mikko H. Lipasti:
Virtual tree coherence: Leveraging regions and in-network multicast trees for scalable cache coherence. MICRO 2008: 35-46 - [c36]Amit Kumar, Li-Shiuan Peh, Niraj K. Jha:
Token flow control. MICRO 2008: 342-353 - [c35]Bin Li, Li-Shiuan Peh, Priyadarsan Patra:
Impact of Process and Temperature Variations on Network-on-Chip Design Exploration. NOCS 2008: 117-126 - [c34]Natalie D. Enright Jerger, Li-Shiuan Peh, Mikko H. Lipasti:
Circuit-Switched Coherence. NOCS 2008: 193-202 - 2007
- [j19]Natalie D. Enright Jerger, Mikko H. Lipasti, Li-Shiuan Peh:
Circuit-Switched Coherence. IEEE Comput. Archit. Lett. 6(1): 5-8 (2007) - [j18]Partha Kundu, Li-Shiuan Peh:
Guest Editors' Introduction: On-Chip Interconnects for Multicores. IEEE Micro 27(5): 3-5 (2007) - [j17]John D. Owens, William J. Dally, Ron Ho, Doddaballapur Narasimha-Murthy Jayasimha, Stephen W. Keckler, Li-Shiuan Peh:
Research Challenges for On-Chip Interconnection Networks. IEEE Micro 27(5): 96-108 (2007) - [j16]