


default search action
Microelectronics Journal, Volume 45
Volume 45, Number 1, January 2014
- I-Chyn Wey, Yu-Sheng Yang, Bing-Chen Wu

, Chien-Chang Peng:
A low power-delay-product and robust Isolated-DICE based SEU-tolerant latch circuit design. 1-13 - Lei Sun, Kong-Pang Pun:

Design considerations of calibration DAC in self-calibrated SAR A/D converters. 14-22 - Farshad Moradi

, Georgios Panagopoulos, Georgios Karakonstantis, Hooman Farkhani, Dag T. Wisland, Jens Kargaard Madsen, Hamid Mahmoodi
, Kaushik Roy:
Multi-level wordline driver for robust SRAM design in nano-scale CMOS technology. 23-34 - Meng-Ting Hsu, Wei-Jhih Li, Yu-Tuan Hsu:

Design of self-bias tail transistor technique for low phase noise CMOS VCO with harmonic suppression using capacitance ground. 35-42 - Jacques Verdier, Ioan Burciu, Chafia Yahiaoui, Christian Gontrand:

Design and measurements of a RF front-end for Bi-band simultaneous reception. 43-49 - Francesco Centurelli

, Roberto Antonio Gualano
, Alessandro Trifiletti:
A wideband amplifier topology based on positive capacitive feedback. 50-58 - Ioannis Vourkas

, Georgios Ch. Sirakoulis
:
Memristor-based combinational circuits: A design methodology for encoders/decoders. 59-70 - Kaijun Song, Fulong Chen, Fan Zhang, Yong Fan:

Synthesis and design method of bandpass-response power divider. 71-77 - Edoardo Giomi

, Luca Fanucci
, Alessandro Rocchi:
Analog-CMDA based interfaces for MEMS gyroscopes. 78-88 - Fan-Hsiu Huang, Yue-Ming Hsin:

A dual-gate 2nd/3rd-order subharmonic injection-locked oscillator in GaAs PHEMT. 89-94 - Syed Iftekhar Ali

, Md. Shafiqul Islam:
A match-line dynamic energy reduction technique for high-speed ternary CAM using dual feedback sense amplifier. 95-101 - Yuh-Shyan Hwang, Jian-Hong Shen, Jiann-Jong Chen

, Ming-Ren Fan:
A THD-reduction high-efficiency audio amplifier using inverter-based OTAs with filter-output feedback. 102-109 - Khaldoon Abugharbieh

, Yongseon Koh, Shoba Krishnan, Jitendra Mohan:
A 33 mW 12.5 Gbps BiCMOS transmitter for high speed backplane applications. 110-118 - Ding-Lan Shen, Hong-Wen Chen:

A prototypical interface for deep brain stimulation. 119-125 - Zengwei Qi, Yiqi Zhuang, Xiaoming Li, Weifeng Liu, Yongqian Du, Bo Wang:

Full passive UHF RFID Tag with an ultra-low power, small area, high resolution temperature sensor suitable for environment monitoring. 126-131
Volume 45, Number 2, February 2014
- Ravi Patel, Engin Ipek, Eby G. Friedman:

2T-1R STT-MRAM memory cells for enhanced on/off current ratio. 133-143 - Kumar Prasannajit Pradhan, Sushanta Kumar Mohapatra

, Prasanna Kumar Sahu
, Debakanta Behera:
Impact of high-k gate dielectric on analog and RF performance of nanoscale DG-MOSFET. 144-151 - Mattia Borgarino

, Giovanni Betti Beneventi
, Valerio Doga, Paolo Pavan
:
On the limitations of transimpedance amplifiers as tools for low-frequency noise characterization. 152-158 - Mathias Ekpu

, Raj S. Bhatti, Michael I. Okereke
, Sabuj Mallik
, Kenny C. Otiaba:
The effect of thermal constriction on heat management in a microelectronic application. 159-166 - Anis Souari

, Amjad Gawanmeh
, Sofiène Tahar, Mohamed Lassaad Ammari
:
Design and verification of a frequency domain equalizer. 167-178 - Zia Abbas

, Mauro Olivieri
:
Impact of technology scaling on leakage power in nano-scale bulk CMOS digital standard cells. 179-195 - B. S. Sreeja

:
Low-power CMOS LC QVCO using zero-biased transistor coupling of MWCNT network-based VCO structure. 196-204 - Fengjuan Wang, Zhangming Zhu, Yintang Yang, Xiaoxian Liu, Ruixue Ding:

Capacitance characterization of tapered through-silicon-via considering MOS effect. 205-210 - Taciano Perez, Ney Laert Vilar Calazans

, César A. F. De Rose
:
System-level impacts of persistent main memory using a search engine. 211-216 - Sophie Dupuis

, Ludovic Noury, Nicolas Fel:
A regular fabric design methodology for applications requiring specific layout-level design rules. 217-225 - Hung-Chun Chien, Chih-Yen Chen:

CMOS realization of single-resistance-controlled and variable frequency dual-mode sinusoidal oscillators employing a single DVCCTA with all-grounded passive components. 226-238 - Bibhash Sen

, Manojit Dutta, Biplab K. Sikdar
:
Efficient design of parity preserving logic in quantum-dot cellular automata targeting enhanced scalability in testing. 239-248 - Jing Wang

, Yi-xi Cai, Xin-jie Zhao, Chun Zhang:
Thermal design and simulation of automotive headlamps using white LEDs. 249-255 - Mohsen Hassanpourghadi, Milad Zamani

, Mohammad Sharifkhani:
A low-power low-offset dynamic comparator for analog to digital converters. 256-262
Volume 45, Number 3, March 2014
- Aleksej Avramovic, Zdenka Babic, Dusan Raic, Drago Strle, Patricio Bulic:

An approximate logarithmic squaring circuit with error compensation for DSP applications. 263-271 - André V. Boas, Sandro A. P. Haddad, José A. Siqueira Dias:

A CMOS fast transient response low-dropout regulator with a compact NMOS output driver. 272-276 - Hyouk-Kyu Cha, Minkyu Je:

A single-input dual-output 13.56 MHz CMOS AC-DC converter with comparator-driven rectifiers for implantable devices. 277-281 - Abdullah Yesil

, Yunus Babacan
, Firat Kaçar
:
A new DDCC based memristor emulator circuit and its applications. 282-287 - Sahar Sarafi, Abu Khari bin A'Ain, Javad Abbaszadeh

:
High-linear, energy-efficient and area-efficient switching algorithm for high-speed SAR ADCs. 288-296 - Mohamed Abouzied, Hatem Osman

, Ahmed Emira, Ahmed Nader Mohieldin
:
A process-tolerant out-of-band blocker rejection technique for SAW-less receivers. 297-310 - Piotr Amrozik

, Andrzej Napieralski
:
Timing characterization and constraining tool. 311-324 - Chao Ma, Shuguo Xie, Yunfeng Jia, Guanyu Lin:

Macromodeling of the memristor using piecewise volterra series. 325-329 - Yong-An Li:

Electronically tunable current-mode biquadratic filter and four-phase quadrature oscillator. 330-335 - Haithem Ayari, Florence Azaïs, Serge Bernard

, Mariane Comte, Vincent Kerzerho, Michel Renovell:
Enhancing confidence in indirect analog/RF testing against the lack of correlation between regular parameters and indirect measurements. 336-344 - Karama M. AL-Tamimi

, Munir Ahmad Al-Absi
, Muhammad Taher Abuelma'atti:
Temperature insensitive current-mode CMOS exponential function generator and its application in variable gain amplifier. 345-354
Volume 45, Number 4, April 2014
- Vinod Pangracious

, Emna Amouri
, Zied Marrakchi, Habib Mehrez:
Architecture level optimization of 3-dimensional tree-based FPGA. 355-366 - Chutham Sawigun

, Wannaya Ngamkham, Wouter A. Serdijn:
A 0.5-V, 2-nW, 55-dB DR, fourth-order bandpass filter using single branch biquads: An efficient design for FoM enhancement. 367-374 - Shao-Ku Kao:

Frequency presetting and phase error detection technique for fast-locking phase-locked loop. 375-381 - Zhufei Chu

, Yinshui Xia, Lun-Yao Wang, Jian Wang:
Efficient nonrectangular shaped voltage island aware floorplanning with nonrandomized searching engine. 382-393 - Wei Wei, Jie Han, Fabrizio Lombardi:

Robust HSPICE modeling of a single electron turnstile. 394-407 - Yogesh Pratap

, Pujarini Ghosh
, Subhasis Haldar, R. S. Gupta, Mridula Gupta:
An analytical subthreshold current modeling of cylindrical gate all around (CGAA) MOSFET incorporating the influence of device design engineering. 408-415 - Jung-Chang Wang

:
Thermal module design and analysis of a 230 W LED illumination lamp under three incline angles. 416-423 - Basel Halak

, Teng Ma, Ximeng Wei:
A dynamic CDMA network for multicore systems. 424-434 - Nam-Jin Oh:

A phase-noise reduction technique for RF CMOS voltage-controlled oscillator with a series LC resonator. 435-440 - Vobulapuram Ramesh Kumar

, Brajesh Kumar Kaushik
, Amalendu Patnaik:
An accurate model for dynamic crosstalk analysis of CMOS gate driven on-chip interconnects using FDTD method. 441-448 - Chie-In Lee, Wei-Cheng Lin, Yan-Ting Lin:

A 2.4 GHz high output power and high efficiency power amplifier operating at inductive breakdown in CMOS technology. 449-453 - Majed ValadBeigi

, Farshad Safaei, Bahareh Pourshirazi:
Application-aware virtual paths insertion for NOCs. 454-462 - Gustavo A. Ruiz

, Mercedes Granda:
Efficient low-power register array with transposed access mode. 463-467 - Yanlong Zhang, Yiqi Zhuang, Zhenrong Li, Xiaojiao Ren, Bo Wang, Kai Jing

, Zengwei Qi:
A 5-bit lumped 0.18-μm CMOS step attenuator with low insertion loss and low phase distortion in 3-22 GHz applications. 468-476 - Xiao-liang Yang, Ying Wang, Bin Du, Cheng-Hao Yu:

Total dose radiation effects of hybrid bulk/SOI CMOS active pixel with buried channel SOI source follower. 477-481
Volume 45, Number 5, May 2014
- Peter E. Raad

, András Poppe
:
Thermal investigations of integrated circuits in systems at THERMINIC 2012. 483
- Josep Altet

, José Luis González, Didac Gómez, Xavier Perpiñà
, Wilfrid Claeys, Stéphane Grauby
, Cédric Dufis, Miquel Vellvehí
, Diego Mateo
, Ferran Reverter
, Stefan Dilhaire, Xavier Jordà
:
Electro-thermal characterization of a differential temperature sensor in a 65 nm CMOS IC: Applications to gain monitoring in RF amplifiers. 484-490 - Jean-Christophe Krencker, Jean-Baptiste Kammerer, Yannick Hervé, Luc Hébrard:

Electro-thermal high-level modeling of integrated circuits. 491-499 - Fatemeh Kashfi, Jeff Draper:

Thermal sensor allocation for 3DICs using three dimensional thermal sensors. 500-507 - Ali Assy, Séverine Gomès, Patrice Chantrenne, Nicolas Pavy, Jayalakshmi Parasuraman, Xavier Kleber, Philippe Basset:

Investigation of the thermal properties of thin solid materials at different temperature levels using a set of microresistors. 508-514 - Peter E. Raad

, Pavel L. Komarov, Mauro A. Bettiati
:
Thermoreflectance temperature measurements for optically emitting devices. 515-520 - András Timár, Márta Rencz:

Temperature dependent timing in standard cell designs. 521-529 - Valerio Tenace

, Sandeep Miryala, Andrea Calimera
, Alberto Macii
, Enrico Macii, Massimo Poncino:
Row-based body-bias assignment for dynamic thermal clock-skew compensation. 530-538 - G. A. Luiten:

Heat spreading in a thin longitudinal fin. 539-546 - Amirkoushyar Ziabari, Ephraim Suhir, Ali Shakouri:

Minimizing thermally induced interfacial shearing stress in a thermoelectric module with low fractional area coverage. 547-553 - Onoriu Puscasu, Stéphane Monfray, C. Maître, Pierre-Jean Cottinet, D. Rapisarda, Guillaume Savelli, F. Gaillard, G. Ricotti, P. Ancey, Frédéric Boeuf, Daniel Guyomar, Thomas Skotnicki

:
A disruptive technology for thermal to electrical energy conversion. 554-558
Volume 45, Number 6, June 2014
- Bong Hyuk Park, Seung Hyun Jang, Philip Ostrovskyy, Jae Ho Jung:

High-speed continuous-time band pass ΔΣ modulator for class-S systems. 559-564 - Chana Leepattarapongpan, Toempong Phetchakul, Naritchaphan Penpondee, Puttapon Pengpad, Arckom Srihapat, Wutthinan Jeamsaksiri

, Ekalak Chaowicharat, Charndet Hruanun, Amporn Poyai:
A merged magnetotransistor for 3-axis magnetic field measurement based on carrier recombination-deflection effect. 565-573 - P. Suveetha Dhanaselvam

, N. B. Balamurugan
:
A 2D sub-threshold current model for single halo triple material surrounding gate (SHTMSG) MOSFETs. 574-577 - Jian Zhang, Wei Zhang, Yanyan Liu:

A fully integrated analog front-end circuit for 13.56 MHz passive RFID tags in conformance with ISO/IEC 18000-3 protocol. 578-588 - Paolo Mantenuto, Giuseppe Ferri

, Andrea De Marcellis
:
Uncalibrated automatic bridge-based CMOS integrated interfaces for wide-range resistive sensors portable applications. 589-596 - Hadi Owlia

, Parviz Keshavarzi
, Abdalhossein Rezai
:
A novel digital logic implementation approach on nanocrossbar arrays using memristor-based multiplexers. 597-603 - Hailong Yao, Qiang Gao, Yici Cai, Qiang Zhou, Chiu-Wing Sham

:
Length matching in detailed routing for analog and mixed signal circuits. 604-612 - Jetsdaporn Satansup, Worapong Tangsrirat

:
Compact VDTA-based current-mode electronically tunable universal filters using grounded capacitors. 613-618 - Alessandro Magnani, Mattia Borgarino

, Christophe Viallon, Thierry Parra, Gilles Jacquemod
:
A low power Ku phase locked oscillator in low cost 130 nm CMOS technology. 619-626 - Meng-Ting Hsu, Tsung-Han Han, Yao-Yen Lee:

Design of Sub-1 mW CMOS LC VCO based on current reused topology with Q-enhancement and body-biased technique. 627-633 - Hung-Chun Chien:

A current-/voltage-controlled four-slope operation square-/triangular-wave generator and a dual-mode pulse width modulation signal generator employing current-feedback operational amplifiers. 634-647 - Kai Jing

, Yiqi Zhuang, Zhenrong Li, Zhongfang Zhao, Lipeng Nie:
A SiGe LC-ladder low noise amplifier with base resistance match, gain and noise flatness for UWB applications. 648-656 - Di Li, Yintang Yang, Zhan Jing, Zuo-Chen Shi, Yang Liu:

A fully integrated feedback AGC loop for ZigBee (IEEE 802.15.4) RF transceiver applications. 657-665 - Santanu Sarkar

, Swapna Banerjee:
An 8-bit low power DAC with re-used distributed binary cells architecture for reconfigurable transmitters. 666-677 - Wei-feng Lü, Ling-Iing Sun:

Compact modeling of response time and random-dopant-fluctuation-induced variability in nanoscale CMOS inverter. 678-682 - Kai Jing

, Yiqi Zhuang, Zhenrong Li, Yongqian Du, Yanlong Zhang:
A SiGe HBT low noise amplifier using on-chip notch filter for K band wireless communication. 683-689 - Yao Xin, Will X. Y. Li, Ray C. C. Cheung

, Rosa H. M. Chan, Hong Yan
, Dong Song
, Theodore W. Berger:
An FPGA based scalable architecture of a stochastic state point process filter (SSPPF) to track the nonlinear dynamics underlying neural spiking. 690-701 - M.-Dolores Cubells-Beltrán

, Càndid Reig Escriva
, Andrea De Marcellis
, E. Figueras, Alberto Yufera
, Boris Zadov, Eugene Paperno, Susana Cardoso
, Paulo P. Freitas
:
Monolithic integration of Giant Magnetoresistance (GMR) devices onto standard processed CMOS dies. 702-707 - Yanhan Zeng, Yuankun Xu, Miaowang Zeng, Hong-Zhou Tan

:
An output-capacitor-free low-dropout regulator with subthreshold slew-rate enhancement technique. 708-718 - Chenglin Yang, Junhao Yan, Bing Long, Zhen Liu:

A novel test optimizing algorithm for sequential fault diagnosis. 719-727 - Ayobami Iji, Xi Zhu, Michael Heimlich

:
Heuristic receiver for implantable UWB applications. 728-733 - Christoph Eichenseer

, Gerhard Pöppel, Thomas Mikolajick
:
Localization of temperature sensitive areas on analog circuits. 734-739 - Ebrahim Abiri, Mohammad Reza Salehi, Sanaz Salem:

A low phase noise and low power 3-5 GHz frequency synthesizer in 0.18 µm CMOS technology. 740-750 - Na Zhang, Huaxi Gu, Yintang Yang, Dongrui Fan

:
QBNoC: QoS-aware bufferless NoC architecture. 751-758 - Huixiang Huang, Dawei Bi, Zhengxuan Zhang:

Investigation of the total dose response of partially depleted SOI nMOSFETs using TCAD simulation and experiment. 759-766 - Qing Wang, Ning Chen, Shen Xu, Weifeng Sun, Longxing Shi:

Trajectory prediction control for digital control DC-DC converters with fast transient response. 767-774 - Amin Malekpour

, Alireza Ejlali
, Saeid Gorgin
:
A comparative study of energy/power consumption in parallel decimal multipliers. 775-780 - Hourieh Attarzadeh, Mohammad Sharifkhani:

An auto-calibrated, dual-mode SRAM macro using a hybrid offset-cancelled sense amplifier. 781-792 - Xiaopeng Liu, Yan Han, Xiaoxia Han, Mingyu Wang:

An all-digital coherent-like BFSK demodulator. 793-798 - Nicola Petra

, Davide De Caro
, Valeria Garofalo, Ettore Napoli, Antonio G. M. Strollo:
Truncated squarer with minimum mean-square error. 799-804 - Predrag Bosko Petrovic

:
A new tunable current-mode peak detector. 805-814 - Liang Wen, Zhikui Duan, Yi Li, Xiaoyang Zeng:

Analysis of a read disturb-free 9T SRAM cell with bit-interleaving capability. 815-824 - Saurabh Kotiyal

, Himanshu Thapliyal
, Nagarajan Ranganathan:
Efficient reversible NOR gates and their mapping in optical computing domain. 825-834
Volume 45, Number 7, July 2014
- Shao-Ku Kao, Yi-Fu Tang, Hsiang-Chi Cheng:

A VCO-based phase-expanding conversion designed for time-domain data converters. 835-841 - Ye Zhang

, Ralf Wunderlich, Stefan Heinen:
A low-complexity low-spurs digital architecture for wideband PLL applications. 842-847 - Deepon Saha, Subir Kumar Sarkar:

High-speed reduced-leakage SRAM memory cell design techniques for low-power 65 nm FD-SOI/SON CMOS technology. 848-856 - Ji-Zhong Shen, Liang Geng, Guang-Ping Xiang, Jianwei Liang

:
Low-power level converting flip-flop with a conditional clock technique in dual supply systems. 857-863 - Marco Pirola

, Roberto Quaglia
, Giovanni Ghione
, Walter Ciccognani
, Ernesto Limiti
:
13-bit GaAs serial-to-parallel converter with compact layout for core-chip applications. 864-869 - Reza Omidi Gosheblagh, Karim Mohammadi:

Hybrid time and hardware redundancy to mitigate SEU effects on SRAM-FPGAs: Case study over the MicroLAN protocol. 870-879 - Zhangming Zhu, Minjie Liu, Qiyu Wang, Yintang Yang:

A single-channel 8-bit 660 MS/s asynchronous SAR ADC with pre-settling procedure in 65 nm CMOS. 880-885 - Seong Jong Cheon, Jae Yeong Park

:
A highly miniaturized LTCC dual-band UWB filter using independent transmission zeros and lowpass filters. 886-892 - Faouzi Kahlouche, Khamis Youssouf, Mahamat Hassan Béchir, Stéphane Capraro, Ali Siblini, Jean-Pierre Chatelon, Cyril Buttay

, Jean Jacques Rousseau:
Fabrication and characterization of a planar interleaved micro-transformer with magnetic core. 893-897 - Haikun Jia, Baoyong Chi, Lixue Kuang, Zhihua Wang:

A 77 GHz FMCW radar transmitter with reconfigurable power amplifier in 65 nm CMOS. 898-903 - Jui-Ching Hsieh, David T. W. Lin, Chin-Hsiang Cheng

, Siwapong Kingkaew, Sheng-Chung Chen:
The optimal design of the thermal spreading on high power LEDs. 904-909 - Andrea De Marcellis

, Giuseppe Ferri
, Paolo Mantenuto, Alessandro Depari
, Alessandra Flammini
, Emiliano Sisinni
:
A new 0.35 μm CMOS electronic interface for wide range floating capacitive and grounded/floating resistive sensor applications. 910-920 - Abolfazl Zokaei, Amir Amirabadi

:
A 0.13μm dual-band common-gate LNA using active post distortion for mobile WiMAX. 921-929
- Bernard Courtois:

Special Section NANOTECH 2013. 930
- Mariusz Jankowski

, Andrzej Napieralski
:
Current-controlled switches for HV SoI processes. 931-945 - Mariusz Jankowski

, Andrzej Napieralski
:
Current-mode signal processing implementation in HV SoI integrated systems. 946-959 - Michal Szermer

, Marcin Janicki
, Piotr Zajac
, Lukasz Kotynia, Mariusz Jankowski
, Andrzej Napieralski
:
Dedicated thermal emulator for analysis of thermal coupling in many-core processors. 960-965 - Balamurugan Karunamurthy, Thomas Ostermann, Monojit Bhattacharya, Sandipan Maity:

A novel simulation methodology for full chip-package thermo-mechanical reliability investigations. 966-971 - B. S. Pês, J. G. Guimarães, José C. da Costa:

Nanoelectronic SET-based core for network-on-chip architectures. 972-975 - Tomi Roinila

, Hongjiang Zeng, Jarmo Verho, Yu Xiao, Matti Vilkko
, Pasi Kallio
, Jukka Lekkala
, Tie Li, Yuelin Wang:
Measuring resistivity of silicon nanowire using pseudo-random binary sequence injection. 976-980 - Grzegorz Janczyk, Tomasz Bieniek, Jerzy Wasowski, Piotr Grabiec:

Investigation on reliability of interconnects in 3D heterogeneous systems by ageing beam resonance method. 981-987
Volume 45, Number 8, August 2014
- Kanagasabapathi Somasundaram, Juha Plosila

, Nallasamy Viswanathan
:
Deadlock free routing algorithm for minimizing congestion in a Hamiltonian connected recursive 3D-NoCs. 989-1000 - Neeta Pandey, Kirti Gupta

, Maneesha Gupta
:
An efficient triple-tail cell based PFSCL D latch. 1001-1007 - Mohammad Bagheri, Ahmad Ghanaatian, Adib Abrishamifar, Mahmoud Kamarei

:
A cross coupled low phase noise oscillator using an output swing enhancement technique. 1008-1013 - Reza Rezaeian Farashahi

, Bahram Rashidi, Sayed Masoud Sayedi:
FPGA based fast and high-throughput 2-slow retiming 128-bit AES encryption algorithm. 1014-1025 - Hooman Rashtian, Amir Hossein Masnadi Shirazi, Shahriar Mirabbasi:

On the use of body biasing to improve linearity in low LO-power CMOS active mixers. 1026-1032 - Yabin Zhang

, Paolo Emilio Bagnoli:
A modeling methodology for thermal analysis of the PCB structure. 1033-1052 - Joan Font

, Eugeni Isern
, Miquel Roca, Rodrigo Picos
, Eugenio García-Moreno
:
A new on-line bandwidth tuning approach for biquad OTA-C filters. 1053-1060 - Christian Gontrand, Fengyuan Sun, José Ricardo Cárdenas-Valdez, Yue Ma, Carole Plossu, Françis Calmon

, José-Cruz Nuñez Perez, Jacques Verdier:
3D substrate modeling; from a first order electrical analysis, towards some possible signal fluctuations consideration, for radio frequency circuits. 1061-1068 - Craig E. Green, Andrei G. Fedorov, Yogendra K. Joshi:

Time scale matching of dynamically operated devices using composite thermal capacitors. 1069-1078 - Hadi Bameri, H. Abdollahi, Ahmad Hakimi:

A comprehensive, adjustable approach for linearizing and broadening the gain characteristic of variable gain amplifiers. 1079-1086 - Rutu Parekh

, Jacques Beauvais, Dominique Drouin:
SET logic driving capability and its enhancement in 3-D integrated SET-CMOS circuit. 1087-1092 - Amrita Chakraborty, Bhaskar Gupta, Binay Kumar Sarkar:

Design, fabrication and characterization of miniature RF MEMS switched capacitor based phase shifter. 1093-1102 - Hao Shu, Peijun Ma, Jiangyi Shi, Zhao Xu, Lin-An Yang:

SRNoC: A novel high performance Shared-Resource routing scheme for Network-on-Chip. 1103-1117 - Bianca Maria Matos de Alencar Braga, Janaina Gonçalves Guimarães:

Nanoelectronic content-addressable memory. 1118-1124 - Hailong Jiao, Volkan Kursun

:
Mode transition timing and energy overhead analysis in noise-aware MTCMOS circuits. 1125-1131 - Nikhil Raj

, Ashutosh Kumar Singh
, Anil Kumar Gupta:
Low power high output impedance high bandwidth QFGMOS current mirror. 1132-1142
Volume 45, Number 9, September 2014
Editorial
- Andrzej Napieralski

:
Introduction to the special issue on the MIXDES 2013. 1143
- Michael Graef, Thomas Holtij, Franziska Hain, Alexander Kloes, Benjamín Iñíguez:

A 2D closed form model for the electrostatics in hetero-junction double-gate tunnel-FETs for calculation of band-to-band tunneling current. 1144-1153 - Piotr Otfinowski

, Pawel Grybos
:
A 7-bit 500 kS/s 1 V micro-power SAR A/D converter for pixel systems. 1154-1158 - Maria-Anna Chalkiadaki, Christian C. Enz:

Accurate RF modeling of nanoscale MOSFET using BSIM6 including low levels of inversion. 1159-1167 - Pawel Kopyt, Przemyslaw Zagrajek

, J. Marczewski
, Krzysztof Kucharski, B. Salski, Jerzy Lusakowski
, Wojciech Knap
, Wojciech Gwarek:
Analysis of sub-THz radiation detector built of planar antenna integrated with MOSFET. 1168-1176 - Ireneusz Brzozowski

, Andrzej Kos:
Designing of low-power data oriented adders. 1177-1186 - Piotr Kmon

:
Digitally assisted neural recording and spike detection multichannel integrated circuit designed in 180 nm CMOS technology. 1187-1193 - Maria-Alexandra Paun

, Jean-Michel Sallese
, Maher Kayal:
Evaluation of characteristic parameters for high performance hall cells. 1194-1201 - Jacek Jasielski

, Stanislaw W. Kuta
, Witold Machowski
, Wojciech Kolodziejski
:
Hybrid DPWM implementation using coarse and fine programmable ADLL. 1202-1211 - Dominik Kasprowicz

, Hilekaan Wada:
Methods for automated detection of plagiarism in integrated-circuit layouts. 1212-1219 - Thomas Holtij, Michael Graef, Alexander Kloes, Benjamín Iñíguez:

Modeling and performance study of nanoscale double gate junctionless and inversion mode MOSFETs including carrier quantization effects. 1220-1225 - Miroslaw Zoladz, Piotr Kmon

, Jacek Rauza, Pawel Grybos
, Tomasz Blasiak
:
Multichannel neural recording system based on family ASICs processed in submicron technology. 1226-1231
Volume 45, Number 10, October 2014
- Serge Bernard

, Patrick Garda, Michel Renovell:
Editorial. 1233 - J. Gerardo García-Sánchez, José M. de la Rosa:

Efficient hybrid continuous-time/discrete-time cascade ΣΔ modulators for wideband applications. 1234-1246 - Juan A. Montiel-Nelson

, Victor Navarro-Botello, Javier Sosa
, Tomás Bautista
:
Analysis and optimization of dynamically reconfigurable regenerative comparators for ultra-low power 6-bit TC-ADCs in 90 nm CMOS technologies. 1247-1253 - Abilio Parreira, Floriberto A. Lima, Marcelino B. Santos

:
Digital modular control of high frequency DC-DC converters. 1254-1260 - Fermin Esparza-Alfaro, Antonio J. López-Martín

, Ramón González Carvajal, Jaime Ramírez-Angulo:
Highly linear micropower class AB current mirrors using Quasi-Floating Gate transistors. 1261-1267 - J. M. Hinojo

, Clara Isabel Luján-Martínez
, Antonio Torralba
, Jaime Ramírez-Angulo:
Internally compensated LDO regulator based on the cascoded FVF. 1268-1274 - Matthias Völker, Johann Hauer, José María Benlloch

, Antonio Soriano-Asensi
, Filomeno Sánchez
, Jorge Carrascal
, Jose-Manuel Cela
, Luciano Romero:
144 Channel measurement IC for CdZnTe sensors with energy and time resolution. 1275-1280 - Héctor Posadas, Pablo Peñil

, Alejandro Nicolás, Eugenio Villar:
Automatic synthesis of embedded SW for evaluating physical implementation alternatives from UML/MARTE models supporting memory space separation. 1281-1291 - Teresa Cervero, Sebastián López

, Gustavo M. Callicó
, José Francisco López, Roberto Sarmiento
:
Scalable architectures for real-time hyperspectral unmixing. 1292-1303 - Ana Cinta Oria

, Patricio López
, José García Doblado, Darío Pérez-Calderón
, Vicente Baena
:
L1 signaling mobility performance in the DVB-T2 receivers intercarrier interference cancellation method applied to L1 signaling. 1304-1310 - Marta Turrillas, Ainhoa Cortés

, Igone Vélez
, Juan F. Sevillano
, Andoni Irizar:
An area-efficient Radix 28 FFT algorithm for DVB-T2 receivers. 1311-1318 - Juan Antonio Gómez Galán

, Manuel Sanchez-Raya
, Rafael López-Ahumada
, Trinidad Sanchez-Rodriguez
, Ismael Martel Bravo
, Raúl Jiménez-Naharro
:
Low power low noise high speed tunable CMOS radiation detection system. 1319-1326 - Chang-Chih Chen, Muhammad Bashir, Linda S. Milor

, Daehyun Kim, Sung Kyu Lim:
Simulation of system backend dielectric reliability. 1327-1334 - Dmitry Burlyaev

, René van Leuken
:
System fault-tolerance analysis of COTS-based satellite on-board computers. 1335-1341 - Esteve Amat

, Carmen G. Almudéver
, Nivard Aymerich, Ramon Canal, Antonio Rubio:
Strategies to enhance the 3T1D-DRAM cell variability robustness beyond 22 nm. 1342-1347 - Elena I. Vatajelu, Álvaro Gómez-Pau

, Michel Renovell, Joan Figueras:
Sram cell stability metric under transient voltage noise. 1348-1353 - M. Arévalo-Garbayo, Marta Portela-García

, Mario García-Valderas
, Celia López-Ongil
, Luis Entrena
:
A method to assess the robustness of cryptographic circuits at the design stage. 1354-1360
Volume 45, Number 11, November 2014
- Georgios Ch. Sirakoulis

, Eero Lehtonen
:
Computational structures and methods with memristive devices and systems. 1361-1362
- Panayiotis S. Georgiou, Mauricio Barahona

, Sophia N. Yaliraki
, Emmanuel M. Drakakis:
On memristor ideality and reciprocity. 1363-1371 - Mohamed E. Fouda, Ahmed Gomaa Radwan

:
Memcapacitor response under step and sinusoidal voltage excitations. 1372-1379 - Ioannis Vourkas

, Georgios Ch. Sirakoulis
:
On the generalization of composite memristive network structures for computational analog/digital circuits and systems. 1380-1391 - Mohamed T. Ghoneim

, Mohammed Affan Zidan
, Khaled Nabil Salama
, Muhammad Mustafa Hussain
:
Towards neuromorphic electronics: Memristors on foldable silicon fabric. 1392-1395 - Alice Dimonte, Tatiana Berzina, Maura Pavesi

, Victor Erokhin
:
Hysteresis loop and cross-talk of organic memristive devices. 1396-1400 - Ella Gale

, Ben de Lacy Costello
, Andrew Adamatzky:
Emergent spiking in non-ideal memristor networks. 1401-1415 - S. Ferch, Eike Linn, Rainer Waser

, Stephan Menzel
:
Simulation and comparison of two sequential logic-in-memory approaches using a dynamic electrochemical metallization cell model. 1416-1428 - Yifat Levy, Jehoshua Bruck

, Yuval Cassuto, Eby G. Friedman, Avinoam Kolodny, Eitan Yaakobi
, Shahar Kvatinsky:
Logic operations in memory using a memristive Akers array. 1429-1437 - Eero Lehtonen

, Jari Tissari, Jussi H. Poikonen, Mika Laiho
, Lauri Koskinen:
A cellular computing architecture for parallel memristive stateful logic. 1438-1449 - Mohammad Bavandpour, Saeed Bagheri Shouraki, Hamid Soleimani, Arash Ahmadi

, Bernabé Linares-Barranco
:
Spiking neuro-fuzzy clustering system and its memristor crossbar based implementation. 1450-1462
- Meng-Ting Hsu, Yu-Hsien Lin, Yang Jing-Cheng:

Low power high gain CMOS LNA based on inverter cell and self-body bias for UWB receivers. 1463-1469 - Alberto A. Del Barrio

, Román Hermida
, Seda Ogrenci Memik
, Jose Manuel Mendias, María C. Molina:
Improving circuit performance with multispeculative additive trees in high-level synthesis. 1470-1479 - Hai Huang, Liyi Xiao:

CORDIC based fast algorithm for power-of-two point DCT and its efficient VLSI implementation. 1480-1488 - Michaelraj Kingston Roberts

, Ramesh Jayabalan:
An area efficient and high throughput multi-rate quasi-cyclic LDPC decoder for IEEE 802.11n applications. 1489-1498 - Carlos Fernando Teodósio Soares, Gustavo S. de Moraes, Antonio Petraglia:

A low-transconductance OTA with improved linearity suitable for low-frequency Gm-C filters. 1499-1507 - Manoj Kumar, Subhasis Haldar, Mridula Gupta, R. S. Gupta:

Impact of gate material engineering(GME) on analog/RF performance of nanowire Schottky-barrier gate all around (GAA) MOSFET for low power wireless applications: 3D T-CAD simulation. 1508-1514 - Abhishek Mishra, Kamal Kishor Jha

, Manisha Pattanaik:
Parameter variation aware hybrid TFET-CMOS based power gating technique with a temperature variation tolerant sleep mode. 1515-1521 - Bibhash Sen

, Manojit Dutta
, Mrinal Goswami
, Biplab K. Sikdar
:
Modular Design of testable reversible ALU by QCA multiplexer with increase in programmability. 1522-1532 - Morteza Gholipour, Nasser Masoumi:

Graphene nanoribbon crossbar architecture for low power and dense circuit implementations. 1533-1541 - Xianlei Xu, Suping Peng, Yunhai Xia, Wanjun Ji:

The development of a multi-channel GPR system for roadbed damage detection. 1542-1555 - Hooman Farkhani, Ali Peiravi

, Farshad Moradi
:
A new asymmetric 6T SRAM cell with a write assist technique in 65 nm CMOS technology. 1556-1565 - André Augusto Mariano

, Bernardo Leite
, Thierry Taris, Jean-Baptiste Bégueret:
Co-design of a wideband double-balanced active mixer and transformer-based baluns for 77 GHz radar applications. 1566-1574 - Sid-Ahmed Tedjini-Bailiche

, Mohamed Trabelsi
, Abdelhalim Slimane, Mohand-Tahar Belaroussi, Fayrouz Haddad
, Sylvain Bourdel:
Ultra low power and high gain switched CMOS gm-boosted current reused mixer for wireless multi-standard applications. 1575-1582
Volume 45, Number 12, December 2014
- Daniela De Venuto

:
Editorial of the Special Issue of Microelectronics Journal on the 5th IEEE International Workshop on Advances in Sensors and Interfaces 2013 (IWASI 2013). 1583-1584
- Daniela De Venuto

, Jan M. Rabaey:
RFID transceiver for wireless powering brain implanted microelectrodes and backscattered neural data collection. 1585-1594 - Gürkan Yilmaz

, Catherine Dehollain:
Single frequency wireless power transfer and full-duplex communication system for intracranial epilepsy monitoring. 1595-1602 - Hans-Peter Brückner, Benjamin Krüger, Holger Blume

:
Reliable orientation estimation for mobile motion capturing in medical rehabilitation sessions based on inertial measurement units. 1603-1611 - Daniele Raiteri, Arthur H. M. van Roermund, Eugenio Cantatore:

A discrete-time amplifier based on Thin-Film Trans-Capacitors for sensor systems on foil. 1612-1620 - Abdelkader Aliane

, Vincent Fischer, M. Galliari, L. Tournon, Romain Gwoziecki, Christophe Serbutoviez
, Isabelle Chartier, Romain Coppard:
Enhanced printed temperature sensors on flexible substrate. 1621-1626 - Michele Magno

, Stevan Jovica Marinkovic, Bruno Srbinovski, Emanuel M. Popovici:
Wake-up radio receiver based power minimization techniques for wireless sensor networks: A review. 1627-1633 - Seyed Alireza Ghorashi, Amir Hossein Alinoori

, Saeed Hajialigol:
Signal-to-noise enhancement in TSSI-GC-IMS: Development of two dimensional sensor for detection of chemicals. 1634-1640 - Jelle Van Rethy, Hans Danneels, Georges G. E. Gielen

:
Scalable Bang-Bang Phase-Locked-Loop-based integrated sensor interfaces. 1641-1647 - Christian Kauth

, Marc Pastre, Maher Kayal:
A novel approach to high-speed high-resolution on-chip mass sensing. 1648-1655 - Michele Magno

, Christian Spagnol, Luca Benini
, Emanuel M. Popovici:
A low power wireless node for contact and contactless heart monitoring. 1656-1664 - Davide Brunelli

, Maurizio Rossi
:
Enhancing lifetime of WSN for natural gas leakages detection. 1665-1670 - Danilo Porcarelli

, Davide Brunelli
, Luca Benini
:
Clamp-and-Forget: A self-sustainable non-invasive wireless sensor node for smart metering applications. 1671-1678 - Liviu Mihai Dumitru, Kyriaki Manoli

, Maria Magliulo
, Gerardo Palazzo
, Luisa Torsi
:
Low-voltage solid electrolyte-gated OFETs for gas sensing applications. 1679-1683 - Davide Caputo, Giampiero de Cesare

, N. Lo Vecchio, Augusto Nascetti
, Emanuele Parisi
, Riccardo Scipinotti
:
Polydimethylsiloxane material as hydrophobic and insulating layer in electrowetting-on-dielectric systems. 1684-1690 - G. De Pascali, D. Melisi, M. Valentini, Antonio Valentini, M. A. Nitti, Roberto Nasi

, Giuseppe Casamassima, Paolo Francesco Ambrico
, A. Cardone:
Spray deposited carbon nanotubes for organic vapor sensors. 1691-1694 - Federico Pittino

, Federico Passerini, Luca Selmi, Frans Widdershoven:
Numerical simulation of the position and orientation effects on the impedance response of nanoelectrode array biosensors to DNA and PNA strands. 1695-1700 - Marco Grossi

, Giuseppe Di Lecce, Tullia Gallina Toschi
, Bruno Riccò:
A novel electrochemical method for olive oil acidity determination. 1701-1707
- Márta Rencz:

To the special issue from the selected papers of thermal investigations of integrated circuits and systems, THERMINIC'13. 1708-1709
- Balázs Plesz, András Vass-Várnai:

Characterization of solar cells by thermal transient testing. 1710-1715 - Thomas Dannerbauer, Thomas Zahner:

Inline Rth control: Short time thermal transient evaluation for high power LEDs. 1716-1720 - Marcin Janicki

, Zoltán Sárkány, Andrzej Napieralski
:
Impact of nonlinearities on electronic device transient thermal responses. 1721-1725 - P. Anithambigai, S. Shanmugan

, D. Mutharasu, T. Zahner, D. Lacey:
Study on thermal performance of high power LED employing aluminum filled epoxy composite as thermal interface material. 1726-1733 - Maciej Kubicki, Miroslaw Malinski

:
The thermoacoustic method of determination of the air-tightness of metal transistors' packagings. 1734-1739 - Gábor Takács, Péter G. Szabó

, Balázs Plesz, György Bognár:
Improved thermal characterization method of integrated microscale heat sinks. 1740-1745 - F. L. T. Maggioni, Herman Oprins, Eric Beyne

, Ingrid De Wolf, Martine Baelmans
:
Fast convolution based thermal model for 3D-ICs: Methodology, accuracy analysis and package impact. 1746-1752 - Pawel Weber, Maciej Zagrabski, Bartosz Wojciechowski, Maciej Nikodem

, Krzysztof Kepa
, Krzysztof S. Berezowski:
Calibration of RO-based temperature sensors for a toolset for measuring thermal behavior of FPGA devices. 1753-1763 - Lorenzo Codecasa

:
Nonlinear dynamic compact thermal models by structure-preserving projection. 1764-1769 - Lorenzo Codecasa

, Luca di Rienzo
:
Compact thermal models for stochastic thermal analysis. 1770-1776 - Lorenzo Codecasa

:
Compact electro-thermal models of interconnects. 1777-1785 - Ferenc Ender

, Márton Németh, Péter Pálovics, Andras Drozdy, András Poppe
:
Thermal compact modeling approach of droplet microreactor based Lab-on-a-Chip devices. 1786-1794 - Krzysztof Górecki

, Malgorzata Rogalska:
The compact thermal model of the pulse transformer. 1795-1799 - Mohamed Ali Belaïd

, Ahmed M. Nahhas
, M. Gares, K. Daoud, Olivier Latry
:
Leakage current effects on N-MOSFETs after thermal ageing in pulsed life tests. 1800-1805 - Piotr Zajac

, Marcin Janicki
, Michal Szermer
, Andrzej Napieralski
:
Evaluating the impact of scaling on temperature in FinFET-technology multicore processors. 1806-1813 - Zhimin Wan, He Xiao, Yogendra Joshi, Sudhakar Yalamanchili:

Co-design of multicore architectures and microfluidic cooling for 3D stacked ICs. 1814-1821 - Ferenc Biró, Csaba Dücso, Zoltán Hajnal, Ferenc Riesz

, Andrea Edit Pap
, István Bársony:
Thermo-mechanical design and characterization of low dissipation micro-hotplates operated above 500 °C. 1822-1828 - J. H. Yu, Giovanni Cennini:

Improving thermal conductivity of polymer composites in embedded LEDs systems. 1829-1833

manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.


Google
Google Scholar
Semantic Scholar
Internet Archive Scholar
CiteSeerX
ORCID














