default search action
Michael S. Hsiao
Person information
- affiliation: Virginia Tech, Blacksburg, VA, USA
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
showing all ?? records
2020 – today
- 2022
- [c191]Aditi, Michael S. Hsiao:
Hybrid Rule-based and Machine Learning System for Assertion Generation from Natural Language Specifications. ATS 2022: 126-131 - [c190]Rahul Krishnamurthy, Michael S. Hsiao:
Automated Suggestions Framework for Processing Hardware Specifications Written in English. FDL 2022: 1-8 - [c189]Yue Zhan, Michael S. Hsiao:
A Hybrid Approach for Automatic Feedback Generation in Natural Language Programming. TransAI 2022: 32-39 - 2021
- [c188]Yue Zhan, Michael S. Hsiao:
Formal Validation for Natural Language Programming using Hierarchical Finite State Automata. ICAART (1) 2021: 506-515 - 2020
- [c187]Yue Zhan, Michael S. Hsiao:
Breaking Down High-level Robot Path-Finding Abstractions in Natural Language Programming. NL4AI@AI*IA 2020: 59-72 - [c186]Yue Zhan, Michael S. Hsiao:
Breaking Down High-Level Robot Path-Finding Abstractions in Natural Language Programming. AI*IA 2020: 280-297 - [c185]Rahul Krishnamurthy, Michael S. Hsiao:
Transforming Natural Language Specifications to Logical Forms for Hardware Verification. ICCD 2020: 393-396 - [c184]Steven J. Frederiksen, John Aromando, Michael S. Hsiao:
Automated Assertion Generation from Natural Language Specifications. ITC 2020: 1-5
2010 – 2019
- 2019
- [c183]Rahul Krishnamurthy, Michael S. Hsiao:
EASE: Enabling Hardware Assertion Synthesis from English. RuleML+RR 2019: 82-96 - [c182]Rahul Krishnamurthy, Michael S. Hsiao:
Controlled Natural Language Framework for Generating Assertions from Hardware Specifications. ICSC 2019: 367-370 - 2018
- [c181]Yue Zhan, Michael S. Hsiao:
A Natural Language Programming Application for Lego Mindstorms EV3. AIVR 2018: 27-34 - [c180]Michael S. Hsiao:
Automated Program Synthesis from Object-Oriented Natural Language for Computer Games. CNL 2018: 71-74 - [c179]Kunal Bansal, Michael S. Hsiao:
Optimization of Mutant Space for RTL Test Generation. ICCD 2018: 472-475 - [c178]Sarmad Tanwir, Michael S. Hsiao, Loganathan Lingappan:
An online framework for diagnosis of multiple defects in scan chains. ISQED 2018: 162-168 - [c177]Michael S. Hsiao, Sarmad Tanwir:
Fast fault coverage estimation of sequential tests using entropy measurements. VTS 2018: 1-6 - 2017
- [c176]Sarmad Tanwir, Michael S. Hsiao, Loganathan Lingappan:
A Test Pattern Quality Metric for Diagnosis of Multiple Stuck-at and Transition faults. ACM Great Lakes Symposium on VLSI 2017: 455-458 - [c175]Sonal Pinto, Michael S. Hsiao:
Fast Search-Based RTL Test Generation Using Control-Flow Path Guidance. ICCD 2017: 399-402 - [c174]Sonal Pinto, Michael S. Hsiao:
RTL functional test generation using factored concolic execution. ITC 2017: 1-10 - [c173]Kelson Gent, Akash Agrawal, Michael S. Hsiao:
A framework for fast test generation at the RTL. VTS 2017: 1-6 - 2016
- [c172]Brendan A. Marcellino, Michael S. Hsiao:
Dynamic partitioning strategy to enhance symbolic execution. DATE 2016: 774-779 - [c171]Sarmad Tanwir, Michael S. Hsiao, Loganathan Lingappan:
Hardware-in-the-loop model-less diagnostic test generation. HLDVT 2016: 128-135 - [c170]Kelson Gent, Michael S. Hsiao:
Fast Multi-level Test Generation at the RTL. ISVLSI 2016: 553-558 - [c169]Kelson Gent, Michael S. Hsiao:
A control path aware metric for grading functional test vectors. LATS 2016: 51-56 - 2015
- [c168]Vineeth V. Acharya, Sharad Bagri, Michael S. Hsiao:
Branch guided functional test generation at the RTL. ETS 2015: 1-6 - [c167]Prateek Puri, Michael S. Hsiao:
SI-SMART: Functional test generation for RTL circuits using loop abstraction and learning recurrence relationships. ICCD 2015: 38-45 - [c166]Mahmoud Elbayoumi, Michael S. Hsiao, Mustafa ElNainay:
Novel SAT-based invariant-directed low-power synthesis. ISQED 2015: 217-222 - [c165]Sharad Bagri, Kelson Gent, Michael S. Hsiao:
Signal domain based reachability analysis in RTL circuits. ISQED 2015: 250-256 - [c164]Prateek Puri, Michael S. Hsiao:
Fast Stimuli Generation for Design Validation of RTL Circuits Using Binary Particle Swarm Optimization. ISVLSI 2015: 573-578 - [c163]Sarmad Tanwir, Sarvesh Prabhu, Michael S. Hsiao, Loganathan Lingappan:
Information-theoretic and statistical methods of failure log selection for improved diagnosis. ITC 2015: 1-10 - [c162]Kelson Gent, Michael S. Hsiao:
Abstraction-based relation mining for functional test generation. VTS 2015: 1-6 - 2014
- [j40]Swarup Bhunia, Michael S. Hsiao, Mainak Banga, Seetharam Narasimhan:
Hardware Trojan Attacks: Threat Analysis and Countermeasures. Proc. IEEE 102(8): 1229-1247 (2014) - [c161]Kelson Gent, Michael S. Hsiao:
Dual-Purpose Mixed-Level Test Generation Using Swarm Intelligence. ATS 2014: 230-235 - [c160]Mahmoud Elbayoumi, Mihir Choudhury, Victor N. Kravets, Andrew Sullivan, Michael S. Hsiao, Mustafa Y. ElNainay:
TACUE: A Timing-Aware Cuts Enumeration Algorithm for Parallel Synthesis. DAC 2014: 189:1-189:6 - [c159]Kuan-Yu Liao, Po-Juei Chen, Ang-Feng Lin, James Chien-Mo Li, Michael S. Hsiao, Laung-Terng Wang:
GPU-based timing-aware test generation for small delay defects. ETS 2014: 1-2 - [c158]Sarvesh Prabhu, Vineeth V. Acharya, Sharad Bagri, Michael S. Hsiao:
Property-checking based LBIST for improved diagnosability. ETS 2014: 1-2 - [c157]Sarvesh Prabhu, Vineeth V. Acharya, Sharad Bagri, Michael S. Hsiao:
A diagnosis-friendly LBIST architecture with property checking. ITC 2014: 1-9 - 2013
- [j39]France Bélanger, Robert E. Crossler, Janine S. Hiller, Jung-Min Park, Michael S. Hsiao:
POCKET: A tool for protecting children's privacy online. Decis. Support Syst. 54(2): 1161-1173 (2013) - [j38]Swarup Bhunia, Miron Abramovici, Dakshi Agrawal, Paul Bradley, Michael S. Hsiao, Jim Plusquellic, Mohammad Tehranipoor:
Protection Against Hardware Trojan Attacks: Towards a Comprehensive Solution. IEEE Des. Test 30(3): 6-17 (2013) - [c156]Kelson Gent, Michael S. Hsiao:
Functional Test Generation at the RTL Using Swarm Intelligence and Bounded Model Checking. Asian Test Symposium 2013: 233-238 - [c155]Avinash R. Desai, Michael S. Hsiao, Chao Wang, Leyla Nazhandali, T. Simin Hall:
Interlocking obfuscation for anti-tamper hardware. CSIIRW 2013: 8 - [c154]Dhrumeel Bakshi, Michael S. Hsiao:
LFSR seed computation and reduction using SMT-based fault-chaining. DATE 2013: 1071-1076 - [c153]Mahmoud Elbayoumi, Michael S. Hsiao, Mustafa Y. ElNainay:
A novel concurrent cache-friendly binary decision diagram construction for multi-core platforms. DATE 2013: 1427-1430 - [c152]Sarvesh Prabhu, Michael S. Hsiao, Loganathan Lingappan, Vijay Gangaram:
Test generation for circuits with embedded memories using SMT. ETS 2013: 1 - [c151]Rashmi Moudgil, Dinesh Ganta, Leyla Nazhandali, Michael S. Hsiao, Chao Wang, T. Simin Hall:
A novel statistical and circuit-based technique for counterfeit detection in existing ICs. ACM Great Lakes Symposium on VLSI 2013: 1-6 - [c150]Mahmoud Elbayoumi, Michael S. Hsiao, Mustafa Y. ElNainay:
Set-cover-based critical implications selection to improvesat-based bounded model checking: extended abstract. ACM Great Lakes Symposium on VLSI 2013: 331-332 - [c149]Mahmoud Elbayoumi, Michael S. Hsiao, Mustafa Y. ElNainay:
Selecting critical implications with set-covering formulation for SAT-based Bounded Model Checking. ICCD 2013: 390-395 - 2012
- [j37]Nathan J. Short, A. Lynn Abbott, Michael S. Hsiao, Edward A. Fox:
Reducing descriptor measurement error through Bayesian estimation of fingerprint minutia location and direction. IET Biom. 1(1): 82-90 (2012) - [j36]Shianling Wu, Laung-Terng Wang, Xiaoqing Wen, Wen-Ben Jone, Michael S. Hsiao, Fangfang Li, James Chien-Mo Li, Jiun-Lang Huang:
Launch-on-Shift Test Generation for Testing Scan Designs Containing Synchronous and Asynchronous Clock Domains. ACM Trans. Design Autom. Electr. Syst. 17(4): 48:1-48:16 (2012) - [c148]Nathaniel J. Short, A. Lynn Abbott, Michael S. Hsiao, Edward A. Fox:
Robust feature extraction in fingerprint images using ridge model tracking. BTAS 2012: 259-264 - [c147]Nathaniel J. Short, A. Lynn Abbott, Michael S. Hsiao, Edward A. Fox:
Temporal analysis of fingerprint impressions. BTAS 2012: 359-364 - [c146]Min Li, Michael S. Hsiao:
RAG: An efficient reliability analysis of logic circuits on graphics processing units. DATE 2012: 316-319 - [c145]Kameshwar Chandrasekar, Supratik K. Misra, Sanjay Sengupta, Michael S. Hsiao:
A scan pattern debugger for partial scan industrial designs. DATE 2012: 558-561 - [c144]Huy Nguyen, Michael S. Hsiao:
Sequential equivalence checking of hard instances with targeted inductive invariants and efficient filtering strategies. HLDVT 2012: 1-8 - [c143]Min Li, Kelson Gent, Michael S. Hsiao:
Design validation of RTL circuits using evolutionary swarm intelligence. ITC 2012: 1-8 - [c142]Sarvesh Prabhu, Michael S. Hsiao, Loganathan Lingappan, Vijay Gangaram:
A Novel SMT-Based Technique for LFSR Reseeding. VLSI Design 2012: 394-399 - [c141]Sarvesh Prabhu, Michael S. Hsiao, Loganathan Lingappan, Vijay Gangaram:
A SMT-based diagnostic test generation method for combinational circuits. VTS 2012: 215-220 - 2011
- [j35]Saparya Krishnamoorthy, Michael S. Hsiao, Loganathan Lingappan:
Strategies for scalable symbolic execution-driven test generation for programs. Sci. China Inf. Sci. 54(9): 1797-1812 (2011) - [j34]Shianling Wu, Laung-Terng Wang, Xiaoqing Wen, Zhigang Jiang, Lang Tan, Yu Zhang, Yu Hu, Wen-Ben Jone, Michael S. Hsiao, James Chien-Mo Li, Jiun-Lang Huang, Lizhen Yu:
Using Launch-on-Capture for Testing Scan Designs Containing Synchronous and Asynchronous Clock Domains. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(3): 455-463 (2011) - [j33]Min Li, Michael S. Hsiao:
3-D Parallel Fault Simulation With GPGPU. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(10): 1545-1555 (2011) - [c140]Sarvesh Prabhu, Michael S. Hsiao, Saparya Krishnamoorthy, Loganathan Lingappan, Vijay Gangaram, Jim Grundy:
An Efficient 2-Phase Strategy to Achieve High Branch Coverage. Asian Test Symposium 2011: 167-174 - [c139]Mainak Banga, Nikhil P. Rahagude, Michael S. Hsiao:
Design-for-test methodology for non-scan at-speed testing. DATE 2011: 191-196 - [c138]Sung Hee Park, Jonathan Leidig, Lin Tzy Li, Edward A. Fox, Nathan J. Short, Kevin E. Hoyle, A. Lynn Abbott, Michael S. Hsiao:
Experiment and Analysis Services in a Fingerprint Digital Library for Collaborative Research. TPDL 2011: 179-191 - [c137]Min Li, Michael S. Hsiao:
High-Performance Diagnostic Fault Simulation on GPUs. ETS 2011: 210 - [c136]Wei Hu, Huy Nguyen, Michael S. Hsiao:
Sufficiency-based filtering of invariants for Sequential Equivalence Checking. HLDVT 2011: 1-8 - [c135]Min Li, Kelson Gent, Michael S. Hsiao:
Utilizing GPGPUs for design validation with a modified Ant Colony Optimization. HLDVT 2011: 128-135 - [c134]Mainak Banga, Michael S. Hsiao:
ODETTE: A non-scan design-for-test methodology for Trojan detection in ICs. HOST 2011: 18-23 - [c133]Nathan J. Short, A. Lynn Abbott, Michael S. Hsiao, Edward A. Fox:
A Bayesian approach to fingerprint minutia localization and quality assessment using adaptable templates. IJCB 2011: 1-7 - [c132]Kevin E. Hoyle, Nathan J. Short, Michael S. Hsiao, A. Lynn Abbott, Edward A. Fox:
Minutiae + friction ridges = triplet-based features for determining sufficiency in fingerprints. ICDP 2011: 1-6 - [c131]Nathan J. Short, Michael S. Hsiao, A. Lynn Abbott, Edward A. Fox:
Latent fingerprint segmentation using ridge template correlation. ICDP 2011: 1-6 - [c130]Maheshwar Chandrasekar, Michael S. Hsiao:
A Novel Learning Framework for State Space Exploration Based on Search State Extensibility Relation. VLSI Design 2011: 64-69 - [c129]Maheshwar Chandrasekar, Michael S. Hsiao:
Fault Collapsing Using a Novel Extensibility Relation. VLSI Design 2011: 268-273 - [c128]Sandesh Prabhakar, Rajamani Sethuram, Michael S. Hsiao:
Trace Buffer-Based Silicon Debug with Lossless Compression. VLSI Design 2011: 358-363 - 2010
- [j32]Maheshwar Chandrasekar, Nikhil P. Rahagude, Michael S. Hsiao:
Search State Compatibility Based Incremental Learning Framework and Output Deviation Based X-filling for Diagnostic Test Generation. J. Electron. Test. 26(2): 165-176 (2010) - [c127]Min Li, Michael S. Hsiao:
FSimGP^2: An Efficient Fault Simulator with GPGPU. Asian Test Symposium 2010: 15-20 - [c126]Saparya Krishnamoorthy, Michael S. Hsiao, Loganathan Lingappan:
Tackling the Path Explosion Problem in Symbolic Execution-Driven Test Generation for Programs. Asian Test Symposium 2010: 59-64 - [c125]Nikhil P. Rahagude, Maheshwar Chandrasekar, Michael S. Hsiao:
DFT + DFD: An Integrated Method for Design for Testability and Diagnosability. Asian Test Symposium 2010: 218-223 - [c124]Neha Goel, Michael S. Hsiao, Naren Ramakrishnan, Mohammed J. Zaki:
Mining Complex Boolean Expressions for Sequential Equivalence Checking. Asian Test Symposium 2010: 442-447 - [c123]Min Li, Yexin Zheng, Michael S. Hsiao, Chao Huang:
Reversible logic synthesis through ant colony optimization. DATE 2010: 307-310 - [c122]Mainak Banga, Michael S. Hsiao:
Trusted RTL: Trojan Detection Methodology in Pre-silicon Designs. HOST 2010: 56-59 - [c121]Sandesh Prabhakar, Michael S. Hsiao:
Multiplexed trace signal selection using non-trivial implication-based correlation. ISQED 2010: 697-704
2000 – 2009
- 2009
- [j31]Allen B. MacKenzie, Jeffrey H. Reed, Peter M. Athanas, Charles W. Bostian, R. Michael Buehrer, Luiz A. DaSilva, Steven W. Ellingson, Y. Thomas Hou, Michael S. Hsiao, Jung-Min Park, Cameron D. Patterson, Sanjay Raman, Claudio R. C. M. da Silva:
Cognitive Radio and Networking Research at Virginia Tech. Proc. IEEE 97(4): 660-688 (2009) - [c120]Sandesh Prabhakar, Michael S. Hsiao:
Using Non-trivial Logic Implications for Trace Buffer-Based Silicon Debug. Asian Test Symposium 2009: 131-136 - [c119]Michael S. Hsiao, Mainak Banga:
Kiss the Scan Goodbye: A Non-scan Architecture for High Coverage, Low Test Data Volume and Low Test Application Time. Asian Test Symposium 2009: 225-230 - [c118]Nannan He, Michael S. Hsiao:
An efficient path-oriented bitvector encoding width computation algorithm for bit-precise verification. DATE 2009: 1602-1607 - [c117]Maheshwar Chandrasekar, Michael S. Hsiao:
Diagnostic Test Generation for silicon diagnosis with an incremental learning framework based on search state compatibility. HLDVT 2009: 68-75 - [c116]Mainak Banga, Michael S. Hsiao:
VITAMIN: Voltage Inversion Technique to Ascertain Malicious Insertions in ICs. HOST 2009: 104-107 - [c115]Swapneel Donglikar, Mainak Banga, Maheshwar Chandrasekar, Michael S. Hsiao:
Fast circuit topology based method to configure the scan chains in Illinois Scan architecture. ITC 2009: 1-10 - [c114]Min Li, Michael S. Hsiao:
An ant colony optimization technique for abstraction-guided state justification. ITC 2009: 1-10 - [c113]Kaigui Bian, Jung-Min Park, Michael S. Hsiao, France Bélanger, Janine S. Hiller:
Evaluation of Online Resources in Assisting Phishing Detection. SAINT 2009: 30-36 - [c112]Mainak Banga, Michael S. Hsiao:
A Novel Sustained Vector Technique for the Detection of Hardware Trojans. VLSI Design 2009: 327-332 - 2008
- [j30]Lei Fang, Michael S. Hsiao:
Bilateral Testing of Nano-scale Fault-Tolerant Circuits. J. Electron. Test. 24(1-3): 285-296 (2008) - [j29]Hong-Sik Kim, Sungho Kang, Michael S. Hsiao:
A New Scan Architecture for Both Low Power Testing and Test Volume Compression Under SOC Test Environment. J. Electron. Test. 24(4): 365-378 (2008) - [j28]Lei Fang, Michael S. Hsiao:
Boosting SAT Solver Performance via a New Hybrid Approach. J. Satisf. Boolean Model. Comput. 5(1-4): 243-261 (2008) - [j27]Weixin Wu, Michael S. Hsiao:
Mining Global Constraints With Domain Knowledge for Improving Bounded Sequential Equivalence Checking. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(1): 197-201 (2008) - [j26]Michael S. Hsiao, Robert B. Jones:
Introduction to special section on high-level design, validation, and test. ACM Trans. Design Autom. Electr. Syst. 13(1): 2:1 (2008) - [c111]Karthik Channakeshava, Kaigui Bian, Michael S. Hsiao, Jung-Min Park, Robert E. Crossler, France Bélanger, Payal Aggarwal, Janine S. Hiller:
On Providing Automatic Parental Consent over Information Collection from Children. Security and Management 2008: 196-202 - [c110]Weixin Wu, Michael S. Hsiao:
Efficient Design Validation Based on Cultural Algorithms. DATE 2008: 402-407 - [c109]Xueqi Cheng, Michael S. Hsiao:
Simulation-Directed Invariant Mining for Software Verification. DATE 2008: 682-687 - [c108]Lei Fang, Michael S. Hsiao:
A Fast Approximation Algorithm for MIN-ONE SAT. DATE 2008: 1087-1090 - [c107]Shianling Wu, Laung-Terng Wang, Zhigang Jiang, Jiayong Song, Boryau Sheu, Xiaoqing Wen, Michael S. Hsiao, James Chien-Mo Li, Jiun-Lang Huang, Ravi Apte:
On Optimizing Fault Coverage, Pattern Count, and ATPG Run Time Using a Hybrid Single-Capture Scheme for Testing Scan Designs. DFT 2008: 143-151 - [c106]Yexin Zheng, Michael S. Hsiao, Chao Huang:
SAT-based equivalence checking of threshold logic designs for nanotechnologies. ACM Great Lakes Symposium on VLSI 2008: 225-230 - [c105]Mainak Banga, Maheshwar Chandrasekar, Lei Fang, Michael S. Hsiao:
Guided test generation for isolation and detection of embedded trojans in ics. ACM Great Lakes Symposium on VLSI 2008: 363-366 - [c104]Ankur Parikh, Michael S. Hsiao:
On dynamic switching of navigation for semi-formal design validation. HLDVT 2008: 41-48 - [c103]Mainak Banga, Michael S. Hsiao:
A Region Based Approach for the Identification of Hardware Trojans. HOST 2008: 40-47 - [c102]Xueqi Cheng, Michael S. Hsiao:
Ant Colony Optimization directed program abstraction for software bounded model checking. ICCD 2008: 46-51 - [c101]Shrirang M. Yardi, Michael S. Hsiao:
Quantifying the energy efficiency of coordinated micro-architectural adaptation for multimedia workloads. ICCD 2008: 583-590 - [c100]Weixin Wu, Michael S. Hsiao:
SAT-based State Justification with Adaptive Mining of Invariants. ITC 2008: 1-10 - 2007
- [j25]Xiaoding Chen, Michael S. Hsiao:
An Overlapping Scan Architecture for Reducing Both Test Time and Test Power by Pipelining Fault Detection. IEEE Trans. Very Large Scale Integr. Syst. 15(4): 404-412 (2007) - [c99]Michael S. Hsiao, France Bélanger, Janine S. Hiller, Payal Aggarwal, Karthik Channakeshava, Kaigui Bian, Jung-Min Park:
Parents and the Internet: Privacy Awareness, Practices and Control. AMCIS 2007: 460 - [c98]Weixin Wu, Michael S. Hsiao:
Mining Sequential Constraints for Pseudo-Functional Testing. ATS 2007: 19-24 - [c97]Lei Fang, Michael S. Hsiao:
A new hybrid solution to boost SAT solver performance. DATE 2007: 1307-1313 - [c96]Nannan He, Michael S. Hsiao:
Bounded model checking of embedded software in wireless cognitive radio systems. ICCD 2007: 19-24 - [c95]Bin Li, Lei Fang, Michael S. Hsiao:
Efficient power droop aware delay fault testing. ITC 2007: 1-10 - [c94]Ankur Parikh, Weixin Wu, Michael S. Hsiao:
Mining-guided state justification with partitioned navigation tracks. ITC 2007: 1-10 - [c93]Shrirang M. Yardi, Michael S. Hsiao:
Integrating Validation and Verification in the Digital Design Curriculum. MSE 2007: 143-144 - [c92]Vishnu C. Vimjam, Michael S. Hsiao:
Explicit Safety Property Strengthening in SAT-based Induction. VLSI Design 2007: 63-68 - [c91]Vishnu C. Vimjam, M. Enamul Amyeen, Ruifeng Guo