


default search action
ISCAS 2006: Island of Kos, Greece
- International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece. IEEE 2006, ISBN 0-7803-9389-9
- Magnus Karlsson, Mark Vesterbacka:
Digit-serial/parallel multipliers with improved throughput and latency. - Henrik Eriksson, Per Larsson-Edefors, Mary Sheeran, Magnus Själander
, Daniel Johansson, Martin Scholin:
Multiplier reduction tree with logarithmic logic depth and regular connectivity. - Fatemeh Kashfi, Seid Mehdi Fakhraie:
Implementation of a high-speed low-power 32-bit adder in 70nm technology. - Joo-Young Kim, Kangmin Lee, Hoi-Jun Yoo:
A 372 ps 64-bit adder using fast pull-up logic in 0.18µm CMOS. - Johannes Grad, James E. Stine:
Low power binary addition using carry increment adders. - Paul Ampadu:
Ultra-low voltage VLSI: are we there yet? - Kiyoo Itoh, Masashi Horiguchi, Takayuki Kawahara:
Ultra-low voltage nano-scale embedded RAMs. - David T. Blaauw, Bo Zhai:
Energy efficient design for subthreshold supply voltage operation. - Ashutosh Chakraborty, Karthik Duraisami, Ashoka Visweswara Sathanur, Prassanna Sithambaram, Alberto Macii
, Enrico Macii, Massimo Poncino:
Implications of ultra low-voltage devices on design techniques for controlling leakage in NanoCMOS circuits. - Yongkang Zhu, David H. Albonesi:
Localized microarchitecture-level voltage management. - Junwei Zhou, Andrew J. Mason:
A two-level hybrid select logic for wide-issue superscalar processors. - Xin Cai, Martin A. Brooke:
A compact CPU architecture for sensor signal processing. - Giorgos Dimitrakopoulos, Christos Mavrokefalidis, Costas Galanopoulos, Dimitris Nikolos:
Fast bit permutation unit for media enhanced microprocessors. - Rama Sangireddy:
Fast and low-power processor front-end with reduced rename logic circuit complexity. - Yunan Xiang, R. Pettibon, Martin Margala:
A versatile computation module for adaptable multimedia processors. - Pietro Monsurrò, Salvatore Pennisi, Giuseppe Scotti, Alessandro Trifiletti:
Inverting closed-loop amplifier architecture with reduced gain error and high input impedance. - H. Dine, S. Chuang, Phillip E. Allen, Paul E. Hasler:
A rail to rail, slew-boosted pre-charge buffer. - Lucía Acosta, Ramón González Carvajal, Mariano Jiménez-Fuentes, Jaime Ramírez-Angulo, Antonio J. López-Martín
:
A CMOS transconductor with 90 dB SFDR and low sensitivity to mismatch. - Milind S. Sawant, Jaime Ramírez-Angulo, Ramón González Carvajal, Antonio J. López-Martín:
Linear compact CMOS OTA with multidecade tuning, -62dB IM3, -75dB SFDR, constant input range and two independent degrees of freedom for gain adjustment. - Mohamed O. Shaker, Soliman A. Mahmoud, Ahmed M. Soliman:
New CMOS fully differential transconductor and its application. - Sumit Bagga, Sandro A. P. Haddad, Wouter A. Serdijn, John R. Long:
An FCC compliant pulse generator for IR-UWB communications. - Chris van den Bos, Luís Bica Oliveira, Jorge R. Fernandes, Chris J. M. Verhoeven:
A 5-GHz combined oscillator/mixer. - Xiaolong Li, Wouter A. Serdijn, B. E. M. Woestenburg, Jan Geralt bij de Vaate:
A broadband indirect-feedback power-to-current LNA. - Nenad Stevanovic, Jesper Engvall, Christian Mueller, Jürgen Oehm:
A temperature compensated linear output RF amplifier with programmable gain control. - Sangho Shin, Kwyro Lee, Sung-Mo Kang:
2.4GHz ZigBee radio architecture with fast frequency offset cancellation loop. - Yongjian Tang, Hans Hegt, Arthur H. M. van Roermund:
DDL-based calibration techniques for timing errors in current-steering DACs. - Yuan Yao, Xuefeng Yu, Foster F. Dai, Richard C. Jaeger:
A 12-bit current steering DAC for cryogenic applications. - P. Eloranta:
A 14-bit D/A-converter with digital calibration. - Svante Signell, Mezbah Uddin Shaber:
High-speed pipelined DAC architecture using Gray coding. - Chao Su, Randall L. Geiger:
Dynamic calibration of current-steering DAC. - Yu Shao, Chip-Hong Chang:
A Kalman filter based on wavelet filter-bank and psychoacoustic modeling for speech enhancement. - Z. G. Zhang, S. C. Chan:
A new Kalman filter-based algorithm for adaptive coherence analysis of non-stationary multichannel time series. - S. C. Chan, Z. G. Zhang, Yi Zhou:
A new adaptive Kalman filter-based subspace tracking algorithm and its application to DOA estimation. - Mobien Shoaib, Stefan Werner
, J. A. Apolinário Jr.
, Timo I. Laakso:
Equivalent output-filtering using fast QRD-RLS algorithm for burst-type training applications. - Dean J. Krusienski, W. Kenneth Jenkins:
A modified particle swarm optimization algorithm for adaptive filtering. - Tsung-Han Tsai, Jia-Her Luo, Shih-Way Huang, Sung-Che Li:
Low complexity architecture design of MDCT-based psychoacoustic model for MPEG 2/4 AAC encoder. - Wei Han, Cheong-Fat Chan, Oliver Chiu-sing Choy, Kong-Pang Pun:
An efficient MFCC extraction method in speech recognition. - Ahmad Darabiha, Anthony Chan Carusone, Frank R. Kschischang
:
A bit-serial approximate min-sum LDPC decoder and FPGA implementation. - Rong-Jian Chen, Yi-Te Lai, Jui-Lin Lai:
Architecture design and VLSI hardware implementation of image encryption/decryption system using re-configurable 2D Von Neumann cellular automata. - Shuenn-Yuh Lee, Chia-Chyang Chen, Shyh-Chyang Lee, Chih-Jen Cheng:
A low-power VLSI architecture for a shared-memory FFT processor with a mixed-radix algorithm and a simple memory control scheme. - Tamás Roska, Dávid Bálya, Anna Lázár, Kristóf Karacs, Róbert Wagner, M. Szuhaj:
System aspects of a bionic eyeglass. - Christian Niederhöfer, Ronald Tetzlaff:
Detection of a preseizure state in epilepsy: signal prediction by maximally weakly nonlinear networks? - Paolo Arena, Luigi Fortuna, Mattia Frasca, Luca Patané, Marco Pavone:
Towards autonomous adaptive behavior in a bio-inspired CNN-controlled robot. - Pantelis Georgiou
, Christofer Toumazou:
Towards an ultra low power chemically inspired electronic beta cell for diabetes. - Michele Bonnin, Fernando Corinto
, Pier Paolo Civalleri, Marco Gilli:
Information and image processing through bio-inspired oscillatory cellular nonlinear networks. - Ali Chamas Al Ghouwayel, Yves Louët, Jacques Palicot:
A reconfigurable architecture for the FFT operator in a software radio context. - Anthony J. Lawrance:
Is there life after bit error rate or before? - Ka-yau Ho, Shu-hung Leung:
Generalized semi-blind channel estimator for TCM-OFDM system. - Hua-Lung Yang, Wen-Rong Wu:
Low-complexity adaptive array for DS/CDMA code acquisition. - Dirk Neumann, Michael W. Hoffman, Sina Balkir:
Robust front-end design for ultra wideband systems. - Kiyoto Ito
, Tadashi Shibata:
A time-domain gradient-detection architecture for VLSI analog motion sensors. - Paul Kucher, Shantanu Chakrabartty:
An adaptive CMOS imager with time-based compressive active-pixel response. - Viktor Gruev, Kejia Wu, Jan Van der Spiegel, Nader Engheta:
Fabrication of a thin film micro polarization array. - Viktor Gruev, Jan Van der Spiegel, Nader Engheta:
Image sensor with focal plane extraction of polarimetric information. - Ralf M. Philipp, Ralph Etienne-Cummings:
A second-generation single-chip stereo imager. - Simin Yu, Jinhu Lu, Guanrong Chen:
Experimental confirmation of n-scroll hyperchaotic attractors. - George Souliotis, Konstantine Giannakopoulos, Nikos Fragoulis:
A current-mode chaotic oscillator. - Ping-Ying Wang, C.-H. Chou, Hsueh-Wu Kao:
Chaos in delay locked loop. - Jinhu Lu, Krishnamurthy Murali, Sudeshna Sinha, Henry Leung:
Generating multi-scroll chaotic attractors via threshold control. - Shiguo Lian, Zhongxuan Liu, Zhen Ren, Haila Wang:
Hash function based on chaotic neural networks. - Hiroo Sekiya, T. Negishi, Tadashi Suetsugu, Takashi Yahagi:
Operation of class DE amplifier outside optimum condition. - Tanay Karnik, Peter Hazucha, Gerhard Schrom, Fabrice Paillet, Donald S. Gardner:
High-frequency DC-DC conversion : fact or fiction. - Tadashi Suetsugu, Marian K. Kazimierczuk:
Sub-optimum operation of class E amplifier with nonlinear shunt capacitance at any duty cycle. - Hirotaka Koizumi, Kosuke Kurokawa, Shinsaku Mori:
A comparison of output envelope waveforms of the delta-sigma modulated class D series resonant inverter. - Siew-Chong Tan, Yuk-Ming Lai, C. K. Tse:
A family of PWM based sliding mode voltage controllers for basic DC-DC converters. - Stefan Werner
, Paulo S. R. Diniz
, Jose E. W. Moreira:
Set-membership affine projection algorithm with variable data-reuse factor. - Dale Joachim, R. Salmon, John R. Deller Jr.:
Set-membership filtering strategies for multipulse coding. - Isao Yamada, Konstantinos Slavakis, Masahiro Yukawa, Renato L. G. Cavalcante:
Adaptive projected subgradient method and its applications to robust signal processing. - Aparna Gurijala, John R. Deller Jr., Dale Joachim:
Robustness optimization of parametric speech watermarking. - Juraci Ferreira Galdino, J. A. Apolinário Jr.
, Marcello L. R. de Campos:
A set-membership NLMS algorithm with time-varying error bound. - Zhaohui Cai, Jianzhong Hao, Sumei Sun, Francois Poshin Chin:
A high-speed Reed-Solomon decoder for correction of both errors and erasures. - Indrajit Ahmed, Tughrul Arslan:
A low energy VLSI design of random block interleaver for 3GPP turbo decoding. - Ming-Der Shieh, Yung-Kuei Lu, Shen-Ming Chung, Jun-Hong Chen:
Design and implementation of efficient Reed-Solomon decoders for multi-mode applications. - Fariborz Sobhanmanesh, Saeid Nooshabadi:
VLSI architecture for 4×4 16-QAM V-BLAST decoder. - Wai-Chi Fang:
Lossless data compression core design for integrated space data and communication system-on-chip. - Yongxin Ma, Xiaoyang Zeng, Min Wu, Chengshou Sun:
A new low cost and reconfigurable RSA crypto-processor. - Yongyi Wu, Xiaoyang Zeng:
A new dual-field elliptic curve cryptography processor. - Pak-Keung Leung, Oliver Chiu-sing Choy, Cheong-Fat Chan, Kong-Pang Pun:
An optimal normal basis elliptic curve cryptoprocessor for inductive RFID application. - Jinsub Park, Yong-Dae Kim, Sangwoon Yang, Younggap You:
Low power compact design of ARIA block cipher. - Marco Bucci, Luca Giancane, Raimondo Luzzi, Mario Varanonuovo, Alessandro Trifiletti:
A novel concept for stateless random bit generators in cryptographic applications. - S. P. Shang, Xiaodong Hu, Tong Jing:
Average lengths of wire routing under M-architecture and X-architecture. - Yibo Wang, Yici Cai, Xianlong Hong:
Performance and power aware buffered tree construction. - Konstantin Moiseev, Shmuel Wimer, Avinoam Kolodny:
Timing optimization of interconnect by simultaneous net-ordering, wire sizing and spacing. - Jun Tao, Xuan Zeng, Fan Yang, Yangfeng Su, Lihong Feng, Wei Cai, Dian Zhou, Charles C. Chiang:
A one-shot projection method for interconnects with process variations. - Glauco Borges Valim dos Santos, Marcelo de Oliveira Johann, Ricardo Augusto da Luz Reis:
Channel based routing in channel-less circuits. - Chih-Jen Cheng, Shuenn-Yuh Lee:
A low-voltage adaptive switched-current SDM for bio-acquisition microsystems. - Alex K. Y. Wong, Kong-Pang Pun, Yuan-Ting Zhang
, Oliver Chiu-sing Choy:
An ECG measurement IC using driven-right-leg circuit. - Jordi Sacristán, Fredy Segura-Quijano, M. Teresa Osés:
Bidirectional telemetry for implantable systems. - R. Morales-Ramos, Javier Sosa, Juan A. Montiel-Nelson, A. Zwick, X. P. Nguyen:
Movement recognition and strain lecture algorithm for fracture monitoring system. - Xiang Xie, Guolin Li, Zhihua Wang:
A new VLSI structure for an improved near-lossless color image compression algorithm inside wireless endoscopy capsule. - Shilin Xu, Guangxi Zhu, Li Yu, Chunhui Cui:
Probability updating-based adaptive hybrid coding (PUAHC). - Yu-Kuang Tu, Jar-Ferr Yang, Ming-Ting Sun:
Statistical rate-distortion estimation for H.264/AVC coders. - Dimitris Agrafiotis, David R. Bull, Cedric Nishan Canagarajah:
Enhanced spatial error concealment with directional entropy based interpolation switching. - Pramod Kumar Meher, Jagdish Chandra Patra:
A new approach to secure distributed storage, sharing and dissemination of digital image. - Radu M. Secareanu, Olin L. Hartin
:
Low power architectures using localised non-volatile memory and selective power shut-down. - Cameron T. Charles, David J. Allstot:
A 2-GHz integrated CMOS reflective-type phase shifter with 675° control range. - Alexander Maltsev, V. Pestretsov, Roman Maslennikov, Alexey Khoryaev:
Triangular systolic array with reduced latency for QR-decomposition of complex matrices. - Erlin Zeng, Shihua Zhu, Xuewen Liao:
Grouped multiuser diversity in multiuser MIMO systems exploiting spatial multiplexing. - Xingle Feng, Shihua Zhu, Pinyi Ren:
Hybrid order detection algorithm for V-BLAST system employing adaptive modulation. - Jin Lee, Sin-Chong Park, Sungchung Park:
A pipelined VLSI architecture for a list sphere decoder. - Samphan Phrompichai, Peerapol Yuvapoositanon:
A semiblind receiver for space-time block-coded downlink multirate DS-CDMA systems. - Paulo S. R. Diniz
, Rozalvo P. Braga, Stefan Werner
:
Set-membership affine projection algorithm for echo cancellation. - Samphan Phrompichai, Peerapol Yuvapoositanon:
A semiblind receiver based upon multiple constrained subspace MUD for long-code downlink multirate DS-CDMA systems. - Zhiying Wang, Chen He:
A minimum transmission power AM-MIMO system. - Mariane R. Petraglia, Paulo Bulkool Batalheiro:
Non-uniform subband adaptive filtering with critical sampling. - Tara Julia Hamilton
, Craig T. Jin
, André van Schaik:
An analysis of matching in the Tau cell log-domain filter. - Chia-Chun Tsai, Huang-Chi Chou, Trong-Yen Lee, Rong-Shue Hsiao:
A single chip image sensor embedded smooth spatial filter with A/D conversion. - Nikolaos P. Papadopoulos
, Alkis A. Hatzopoulos, Dimitris K. Papakostas, C. A. Dimitriadis, Stilianos Siskos:
Modeling the impact of light on the performance of polycrystalline thin-film transistors at the sub-threshold region. - Kazuyuki Wada, Randall L. Geiger:
Minimization of total area in integrated active RC filters. - Antonio J. López-Martín, Alfonso Carlosena, Jaime Ramírez-Angulo, Ramón González Carvajal:
Rail-to-rail tunable CMOS V-I converter. - Jiun-Wei Horng, Hung-Pin Chou, Iun-Cheng Shiu:
Current-mode and voltage-mode quadrature oscillator employing multiple outputs CCIIs and grounded capacitors. - P. Ziska, J. Vrbata:
Method for design of analog group delay equalizers. - Peter J. Langlois, Andreas Demosthenous:
Possible benefits of moderate inversion for MOSFET transconductors. - Shunsuke Koshita, Masahide Abe, Masayuki Kawamata:
Gramian-preserving frequency transformation for linear continuous-time state-space systems. - Phanumas Khumsat, Apisak Worapishet:
High-gain current amplifiers for low-power MOSFET-C filters. - Hao Liu, Wenjun Zhang, Xiaokang Yang:
Error-resilience packet scheduling for low bit-rate video streaming over wireless channels. - Davy De Schrijver, Wesley De Neve, Koen De Wolf, Stijn Notebaert, Rik Van de Walle:
XML-based customization along the scalability axes of H.264/AVC scalable video coding. - Wei-Cheng Lin, Chung-Ho Chen:
Exploring reusable frame buffer data for MPEG-4 video decoding. - Hoi-Ming Wong, Oscar C. Au, Andy Chang, Shu-Kei Yip, Chi-Wang Ho:
Fast mode decision and motion estimation for H.264 (FMDME). - Jih-Sheng Shen, Kuei-Chung Chang, Tien-Fu Chen:
On a design of crossroad switches for low-power on-chip communication architectures. - DiaaEldin Khalil, Yehea I. Ismail:
Optimum sizing of power grids for IR drop. - Magdy A. El-Moursy, Eby G. Friedman:
Optimum wire tapering for minimum power dissipation in RLC interconnects. - Michael Sotman, Avinoam Kolodny, Mikhail Popovich, Eby G. Friedman:
On-die decoupling capacitance: frequency domain analysis of activity radius. - William R. Roberts, Dimitrios Velenis:
Power supply variation effects on timing characteristics of clocked registers. - Chia-Chun Tsai, Jan-Ou Wu, Chien-Wen Kao, Trong-Yen Lee, Rong-Shue Hsiao:
Coupling aware RLC-based clock routings for crosstalk minimization. - Jenq-Neng Hwang, Ibrahim Karliga, Hsu-Yung Cheng:
An automatic three-dimensional human behavior analysis system for video surveillance applications. - Ji Tao, M. Turjo, Yap-Peng Tan:
Quickest change detection for health-care video surveillance. - Hong-Yuan Mark Liao, Duan-Yu Chen, Chih-Wen Su, Hsiao-Rong Tyan:
Real-time event detection and its application to surveillance systems. - Ke-Zhao Chen, Yao-Jen Chang, Chia-Wen Lin:
Video-based face authentication using appearance models and HMMs. - Victor Sutan, Jason Cardillo, Ching-Yung Lin:
Developing smart video semantic sensors. - Mohamed M. Rehan
, M. Watheq El-Kharashi, Panajotis Agathoklis, Fayez Gebali:
An FPGA implementation of the flexible triangle search algorithm for block based motion estimation. - Junho Cho, Hoseok Chang, Wonyong Sung:
An FPGA based SIMD processor with a vector memory unit. - Tim Good, Mohammed Benaissa:
AES as stream cipher on a small FPGA. - Louis Dupont, Sébastien Roy, Jean-Yves Chouinard
:
A FPGA implementation of an elliptic curve cryptosystem. - Samy M. Boshra, Hazem M. Abbas, Ahmed M. Darwish, Ihab E. Talkhan:
Performance and routability improvements for routability-driven FPGA routers. - G. Reza Chaji, Arokia Nathan:
High-precision, fast current source for large-area current-programmed a-Si flat panels. - Michael Kropfitsch, Philipp Riess, Gerhard Knoblinger, Dieter Draxelmayr:
Dielectric absorption of low-k materials: extraction, modelling and influence on SAR ADCs. - Janne Maunu, Mikko Pänkäälä, Joona Marku, Jonne Poikonen, Mika Laiho, Ari Paasio:
Current source calibration by combination selection of minimum sized devices. - Sangho Shin, Kwyro Lee, Sung-Mo Kang:
Low-power 2.4GHz CMOS frequency synthesizer with differentially controlled MOS varactors. - Micah G. O'Halloran, Rahul Sarpeshkar:
An analog storage cell with 5e-/sec leakage. - Christian Falconi, Gianluca Giustolisi:
Analysis of power supply gain of CMOS bandgap references. - Sen-Wen Hsiao, Yen-Chih Huang, David Liang, Hung-Wei Kevin Chen, Hsin-Shu Chen:
A 1.5-V 10-ppm/°C 2nd-order curvature-compensated CMOS bandgap reference with trimming. - Hanqing Xing, Le Jin, Degang Chen, Randall L. Geiger:
Characterization of a current-mode bandgap circuit structure for high-precision reference applications. - Xin Dai, Degang Chen, Randall L. Geiger:
Explicit characterization of bandgap references. - Hou-Ming Chen, Chih-Liang Huang, Robert Chen-Hao Chang:
A new temperature-compensated CMOS bandgap reference circuit for portable applications. - Chon-In Lao, Seng-Pan U., Rui Paulo Martins:
A novel effective bandpass semi-MASH sigma-delta modulator with double-sampling mismatch-free resonator. - Andrea Gerosa
, Andrea Bevilacqua, Andrea Neviani, Andrea Xotta:
An optimal architecture for a multimode ADC, based on the cascade of a Sigma Delta modulator and a flash converter. - Ramon Tortosa Navas, José M. de la Rosa, Ángel Rodríguez-Vázquez, Francisco V. Fernández:
Design of a 1.2-V cascade continuous-time Delta Sigma modulator for broadband telecommunications. - Jaswinder Lota, Mohammed Al-Janabi, Izzet Kale:
Stability analysis of higher-order delta-sigma modulators using the describing function method. - Mohammad Yavari, Omid Shoaei, Ángel Rodríguez-Vázquez:
Double-sampled cascaded sigma-delta modulator topologies for low oversampling ratios. - Fei Xu, Chip-Hong Chang, Ching-Chuen Jong:
A new integrated approach to the design of low-complexity FIR filters. - Douglas L. Maskell, Jussipekka Leiwo, Jagdish Chandra Patra:
The design of multiplierless FIR filters with a minimum adder step and reduced hardware complexity. - Jacek Izydorczyk:
An algorithm for optimal terms allocation for fixed point coefficients of FIR filter. - Chip-Hong Chang, Jiajia Chen, A. Prasad Vinod:
Maximum likelihood disjunctive decomposition to reduced multirooted DAG for FIR filter design. - A. Prasad Vinod, Ankita Singla, Chip-Hong Chang:
Improved differential coefficients-based low power FIR filters. Part I. Fundamentals. - Alkis A. Hatzopoulos, Stefanos Stefanou, Georges G. E. Gielen
, Dominique Schreurs
:
Assessment of parameter extraction methods for integrated inductor design and model validation. - Gülin Tulunay, Sina Balkir:
Automatic synthesis of CMOS RF front-ends. - Mark Pude, Clyde Washburn, Ponnathpur R. Mukund, Kouichi Abe, Yoshinori Nishi:
An analytical propagation delay model with power supply noise effects. - Massimo Panella, Maurizio Paschero, Fabio Massimo Frattale Mascioli:
Symbolic analysis and optimization of piezo-electromechanical systems. - Pawel Sniatala, R. Rudnicki:
Automated design and layout generation for switched current circuits. - Xiao Liu, Andreas Demosthenous, Nick Donaldson
:
A stimulator output stage with capacitor reduction and failure-checking techniques. - Scott Miller, Leonard MacEachern:
A nanowatt bandgap voltage reference for ultra-low power applications. - Hio Leong Chao, Dongsheng Ma:
CMOS variable-gain wide-bandwidth CMFB-free differential current feedback amplifier for ultrasound diagnostic applications. - Edward K. Lee, Eusebiu Matei, Ravi S. Ananth:
A 0.9 V rail-to-rail constant gm amplifier for implantable biomedical applications. - Eric D. Marsman, Robert M. Senger, Gordy A. Carichner, Sundus Kubba, Michael S. McCorquodale, Richard B. Brown:
DSP architecture for cochlear implants. - Nicolas Deparis, Christophe Loyez
, Nathalie Rolland, Paul-Alain Rolland:
Pulse generator for UWB communication and radar applications with PPM and time hopping possibilities. - Anuranjan Jha, Ranjit Gharpurey, Peter R. Kinget
:
Quadrature-DAC based pulse generation for UWB pulse radio transceivers. - T. K. K. Tsang, M. L. El-Cramal:
Fully integrated sub-microWatt CMOS ultra wideband pulse-based transmitter for wireless sensors networks. - Tero Koivisto, Teemu Peltonen, Meigen Shen, Esa Tjukanoff, Ari Paasio:
Sine wave as a correlating signal for UWB radio. - Quoc-Hoang Duong, T.-J. Park, E.-J. Kim, Sang-Gug Lee:
An all CMOS 743 MHz variable gain amplifier for UWB systems. - Guang-Hua Yang, Dongxu Shen, Victor O. K. Li:
Unequal error protection for MIMO systems with a hybrid structure. - Yan Chen, Oscar C. Au, Chi-Wang Ho, Jiantao Zhou:
Spatio-temporal boundary matching algorithm for temporal error concealment. - Ivan V. Bajic:
Non-causal error control for wireless video streaming with noncoherent signaling. - Mengyao Ma, Oscar C. Au, S.-H. Gary Chan, Liwei Guo, Zhiqin Liang:
Three-loop temporal interpolation for error concealment of MDC. - Danjue Li, Qian Zhang
, Chen-Nee Chuah, S. J. Ben Yoo:
Multi-source multi-path video streaming over wireless mesh networks. - Jinhu Lu, Guanrong Chen:
A brief overview of multi-scroll chaotic attractors generation. - Serdar Özoguz, Ahmed S. Elwakil
:
2D scroll grid attractors from pulse-excited nonautonomous circuits. - Donato Cafagna, Giuseppe Grassi:
Hyperchaotic 3D-scroll attractors via Hermite polynomials: the Adomian decomposition approach. - Simin Yu, Jinhu Lu:
Design and implementation of multi-directional grid multi-torus chaotic attractors. - Müstak E. Yalçin, Johan A. K. Suykens
, Joos Vandewalle:
Multi-scroll and hypercube attractors from Josephson junctions. - David J. Hill, Guanrong Chen:
Power systems as dynamic networks. - E. Vournas, Nikos G. Sakellaridis, Michael E. Karystianos, Nicholas G. Maratos:
Investigating power system stability limits. - A. P. Sakis Meliopoulos, George J. Cokkinides, George K. Stefopoulos:
Voltage stability and voltage recovery: effects of electric load dynamics. - V. Venkatasubramanian, Yuan Li:
Computation of unstable limit cycles in large-scale power system models. - Chika O. Nwankpa, Anthony S. Deese, Qingyan Liu, Aaron St. Leger, J. Yakaski:
Power system on a chip (PSoC). - Gang Wang, Huaguang Zhang, Derong Liu:
Global exponential stability of generalized neural networks with time-varying delays. - P. T. Krasopoulos, Nicholas G. Maratos:
A neural network for convex optimization. - E. Lazaridis, Emmanuel M. Drakakis, Mauricio Barahona
:
A biomimetic CMOS synapse. - Xiaolin Hu, Jun Wang:
Global stability of a recurrent neural network for solving pseudomonotone variational inequalities. - Mauro Forti, Massimo Grazzini, Paolo Nistri, Luca Pancioni
:
A result on global convergence in finite time for nonsmooth neural networks. - Suryanarayana Tatapudi, José G. Delgado-Frias
:
A mesochronous pipeline scheme for high performance low power digital systems. - Massimo Alioto, Gaetano Palumbo:
Delay uncertainty due to supply variations in static and dynamic full adders. - Lei Wang, Shuo Wang:
Adaptive timing for analysis of skew tolerance. - Robert M. Senger, Eric D. Marsman, Gordy A. Carichner, Sundus Kubba, Michael S. McCorquodale, Richard B. Brown:
Low-latency, HDL-synthesizable dynamic clock frequency controller with self-referenced hybrid clocking. - Hing-mo Lam, Chi-Ying Tsui:
High performance single clock cycle CMOS comparator. - Parity-based on-line detection for a bit-parallel systolic dual-basis multiplier over GF(2m).
- Kazuo Sakiyama, Bart Preneel, Ingrid Verbauwhede
:
A fast dual-field modular arithmetic logic unit and its hardware implementation. - Ying Yu, Raymond R. Hoare, Alex K. Jones, Ralph Sprang:
A hybrid encoding scheme for efficient single-cycle range matching in content addressable memory. - Kenny Johansson, Oscar Gustafsson
, Lars Wanhammar:
Approximation of elementary functions using a weighted sum of bit-products. - James E. Stine, Nitin Naresh:
Compressed symmetric tables for accurate function approximation of reciprocals. - Cicilia C. Lozano, Bogdan J. Falkowski, Susanto Rahardja:
Algorithms for generation of quaternary fixed polarity arithmetic spectra. - Tsung-Hsi Chiang, Lan-Rong Dung:
System-level verification on high-level synthesis of dataflow graph. - Wei-Hsiang Cheng, Chin-Lung Chuang, Chien-Nan Jimmy Liu:
An efficient mechanism to provide full visibility for hardware debugging. - Rajsekhar Adapa, Spyros Tragoudas, Maria K. Michael:
Sub-faults identification for collapsing in diagnosis. - George Economakos:
Behavioral synthesis with SystemC and PSL assertions for interface specification. - Liangbin Yao, Jaber A. Abu-Qahouq, Issa Batarseh:
Hybrid discretization in power converters' digital controller design. - Ali Davoudi, Juri Jatskevich:
State-space averaging of switched-inductor-cell for PWM dc-dc converters considering conduction losses in both operational modes. - Dalibor Biolek
, Viera Biolková, Josef Dobes:
Modeling of switched DC-DC converters by mixed s-z description. - Ramon Costa-Castelló, Enric Fossas:
On discretizing linear passive controllers. - Nicola Femia, Giovanni Petrone, Giovanni Spagnuolo, Massimo Vitelli:
One-cycle control of converters operating in DCM. - Cesare Alippi, D. Cogliati, Giovanni Vanini:
A statistical approach to localize passive RFIDs. - Visar Berisha, Homin Kwon, Andreas Spanias:
Real-time acoustic monitoring using wireless sensor motes. - Jonathan Tapson
:
Supercritical stability in a sonar receiver circuit. - Lei Wang, Nizamettin Aydin, A. Astaras, Mansour Ahmadian, Paul A. Hammond, T. B. Tang, Erik A. Johannessen
, Tughrul Arslan, Steve P. Beaumont, Brian W. Flynn, Alan F. Murray, Jonathan M. Cooper, David R. S. Cumming:
A sensor system on chip for wireless microsystems. - Vincent Chan, André van Schaik, Shih-Chii Liu:
Spike response properties of an AER EAR. - Vincenzo Stornelli, Giuseppe Ferri, Giorgio Leuzzi, Andrea De Marcellis:
A tunable 0.5-1.3 GHz CMOS 2nd order bandpass filter with 50 Omega input-output impedance matching. - Zhiqiang Gao, Mingyan Yu, Yizheng Ye, Jianguo Ma:
A CMOS bandpass filter with wide-tuning range for wireless applications. - Mohammad B. Vahidfar, Omid Shoaei, M. Fardis:
A low power, transverse analog FIR filter for feed forward equalization of gigabit Ethernet. - Shiann Shiun Jeng, Hsing-Chen Lin, Shu-Ming Chang:
FPGA implementation of FIR filter using M-bit parallel distributed arithmetic. - K. Allidina, Shahriar Mirabbasi:
A widely tunable active RF filter topology. - Marek Parfieniuk
, Alexander A. Petrovsky:
Quaternionic formulation of the first regularity for four-band paraunitary filter banks. - V. S. Sheeba, Elizabeth Elias:
Design of signal-adapted nonuniform filter banks using tree structure. - S. H. Zhao, S. C. Chan:
Robust design of hybrid filter bank A/D converters using second order cone programming. - S. S. Yin, S. C. Chan, Xuemei Xie:
On the theory and design of a class of recombination nonuniform filter banks with low-delay FIR and IIR filters. - Zhiming Xu, Anamitra Makur, Zhiping Lin:
Characterization and design of oversampled linear phase filterbanks with rational oversampling ratio. - Joongho Choi, Jinup Lim, Cheng-Chew Lim:
A low-voltage operational amplifier with high slew-rate for sigma-delta modulators. - Hong-Yi Huang, Bo-Ruei Wang, Jen-Chieh Liu:
High-gain and high-bandwidth rail-to-rail operational amplifier with slew rate boost circuit. - Alfio Dario Grasso, Gaetano Palumbo, Salvatore Pennisi:
Active reversed nested Miller compensation for three-stage amplifiers. - Luís Nero Alves, Luis Barbosa, E. A. L. Macedo, Rui L. Aguiar:
General model for delayed feedback and its application to transimpedance amplifier's bandwidth optimization. - Mariano Jiménez-Fuentes, Antonio Jesús Torralba Silgado, Ramón González Carvajal, Jaime Ramírez-Angulo:
A new low-voltage CMOS unity-gain buffer. - Kostas Masselos, Yiannis Andreopoulos, Thanos Stouraitis:
Execution time comparison of lifting-based 2D wavelet transforms implementations on a VLIW DSP. - A. B. B. Adikari, Warnakulasuriya Anil Chandana Fernando, Hemantha Kodikara Arachchi:
A new motion and disparity vector prediction technique for H.264 based stereoscopic video coding. - B. Ugur Töreyin
, A. Enis Çetin:
Wavelet based detection of moving tree branches and leaves in video. - Wen-Chung Kao, Chien-Chih Hsu, Chih-Chung Kao, Shou-Hung Chen:
Adaptive exposure control and real-time image fusion for surveillance systems. - Songping Mai, Kun Yang, Wenli Lan, Chun Zhang, Zhihua Wang:
An open-source based DSP with enhanced multimedia-processing capacity for embedded applications. - Timothy G. Constandinou
, Chris Toumazou:
A micropower vision processor for parallel object positioning and sizing. - Kazuhiro Shimonomura, Tetsuya Yagi:
Texture segregation employing orientation-selective analog multi-chip vision system. - Rafael Serrano-Gotarredona, Bernabé Linares-Barranco, Teresa Serrano-Gotarredona, Antonio Acosta-Jimenez, Alejandro Linares-Barranco, Rafael Paz-Vicente, Francisco Gomez-Rodriguez:
High-speed image processing with AER-based components. - Eugenio Culurciello, Andreas Savvides:
Address-event image sensor network. - Swati Mehta, Ralph Etienne-Cummings:
Normal flow measurement visual motion sensor. - Yibin Ye, Muhammad M. Khellah, Dinesh Somasekhar, Vivek De:
Evaluation of differential vs. single-ended sensing and asymmetric cells in 90 nm logic technology for on-chip caches. - Ferdinando Bedeschi, C. Boffmo, Edoardo Bonizzoni, Claudio Resta, Guido Torelli, Daniele Zella:
Set-sweep programming pulse for phase-change memories. - Chung-Hsien Hua, Chi-Wei Peng, Wei Hwang:
A noise-tolerant matchline scheme with XOR-based conditional keeper for energy-efficient TCAM. - R. Barsatan, Tsz Yin Man, Mansun Chan:
A zero-mask one-time programmable memory array for RFID applications. - Daewook Kim, Manho Kim, Gerald E. Sobelman:
DCOS: cache embedded switch architecture for distributed shared memory multiprocessor SoCs. - Darius Grabowski, Christoph Grimm, Erich Barke:
Semi-symbolic modeling and simulation of circuits and systems. - Ewout Martens, Georges G. E. Gielen
:
A behavioral model of sampled-data systems in the phase-frequency transfer domain for architectural exploration of transceivers. - Alain Vachoux, Christoph Grimm, Ralf Kakerow, Christian Meise:
Embedded mixed-signal systems: new challenges for modeling and simulation. - Bo Hu, Chuanjin Richard Shi:
Improved automatic differentiation method for efficient model compiler. - Gabriel Popescu, Leonid B. Goldgeisser:
Model compatibility aspects in multilingual simulation environments. - Kyoung-Hoi Koo, Soo-Kyung Lee, Jin-Ho Seo, Myeong-Lyong Ko, Jae-Whui Kim:
A versatile I/O with robust impedance calibration for various memory interfaces. - Yong Sin Kim, Sangho Shin, Sung-Mo Kang:
A 4-Gb/s/pin current mode 4-level simultaneous bidirectional I/O with current mismatch calibration. - Jean-Marc Philippe, E. Kinvi-Boh, Sébastien Pillement, Olivier Sentieys:
An energy-efficient ternary interconnection link for asynchronous systems. - Maged Ghoneima, Yehea I. Ismail, Muhammad M. Khellah, Vivek De:
Reducing the data switching activity of serialized datastreams. - Kwang-Il Oh, Seunghyun Cho, Lee-Sup Kim:
A low power SoC bus with low-leakage and low-swing technique. - Sang-Min Yoo, Tae-Hwan Oh, Ho-Young Lee, Kyung-Ho Moon, Jae-Whui Kim:
A 3.0V 12b 120 Msample/s CMOS pipelined ADC. - Tae-Hwan Oh, Sang-Min Yoo, Kyoung-Ho Moon, Jae-Whui Kim:
A 3.0 V 72mW 10b 100 MSample/s Nyquist-rate CMOS pipelined ADC in 0.54 mm2. - Kazutaka Honda, Masanori Furuta, Shoji Kawahito:
A 1V 10b 125MSample/s A/D Converter Using Cascade Amp-Sharing and Capacitance Coupling Techniues. 1031-1034 - Mohammad Taherzadeh-Sani, Anas A. Hamoui:
Digital background calibration of interstage-gain and capacitor-mismatch errors in pipelined ADCs. - Hold Omid Rajaee, Amin Jahanian, Mehrdad Sharif Bakhtiar:
A low voltage, high speed, high resolution class AB switched current sample and hold. - Mohamed O. Shaker, Soliman A. Mahmoud, Ahmed M. Soliman:
A CMOS fifth-order low-pass current-mode filter using a linear transconductor. - Vito Giannini, Jan Craninckx, J. Compiet, Boris Come, Stefano D'Amico, Andrea Baschirotto:
Fully reconfigurable active-Gm-RC biquadratic cells for software defined radio applications. - Rajesh Tiruvuru, Shanthi Pavan:
Transmission line based FIR structures for high speed adaptive equalization. - Ville Saari, Jussi Ryynänen, Jussi Mustola, Kari Halonen, Jarkko Jussila:
A 10-MHz channel-select filter for a multicarrier WCDMA base-station. - Aránzazu Otín
, Santiago Celma, Concepción Aldea
:
A design strategy for VHF filters with digital programmability. - Fangjiong Chen, Sam Kwong
, Chi-Wah Kok:
Two-dimensional angle and polarization estimation using ESPRIT without pairing. - Ricardo Merched, Are Hjørungnes:
Innovations approach to MMSE waterfilling based equalizers. - Wakako Nakamura, Sachiko Koyama, Shinya Kuriki, Yujiro Inouye:
Estimation of current density distributions from EEG/MEG data by maximizing sparseness of spatial difference. - Xiaoning Lu, K. C. Ho:
Taylor-series technique for moving source localization in the presence of sensor location errors. - Maurice G. Bellanger:
Coefficient bias in constant modulus adaptive filters. - David B. H. Tay:
ETHFB: a new class of even-length wavelet filters for Hilbert pair design. - David B. H. Tay:
On the regularity of orthonormal wavelets designed via the zero-pinning technique. - Soo-Chang Pei, Jian-Jiun Ding:
Improved reversible integer transform. - Saad Bouguezel, M. Omair Ahmad, M. N. S. Swamy:
An efficient algorithm for the computation of the reverse jacket transform. - Ramesh Chidambaram, Rene van Leuken, Marc Quax, Ingolf Held, Jos Huisken
:
A multistandard FFT processor for wireless system-on-chip implementations. - Chia-Chi Chu
, Ming-Hong Lai, Wu-Shiung Feng:
The global Lanczos method for MIMO interconnect order reductions. - Ming-Hong Lai, Chia-Chi Chu
, Wu-Shiung Feng:
MIMO interconnects order reductions by using the global Arnoldi algorithm. - Lorenzo Codecasa, Dario D'Amore, Paolo Maffezzoni:
Parametric compact models by directional moment matching. - Jin-Tai Yan, Bo-Yi Chiang, Chia-Fang Lee:
Timing-constrained yield-driven wire sizing for critical area minimization. - Chunjie Duan, Kanupriya Gulati, Sunil P. Khatri:
Memory-based crosstalk canceling CODECs for on-chip buses. - Christal Gordon, Amanda Preyer, Karolyn Babalola, Robert J. Butera, Paul E. Hasler:
An artificial synapse for interfacing to biological neurons. - Takahashi Tokuda, David C. Ng, Akio Yamamoto, Keiichiro Kagawa, Masahiro Nunoshita, Jun Ohta:
An optical and potential dual-image CMOS sensor for on-chip neural and DNA imaging applications. - Suresh Atluri, Maysam Ghovanloo:
A wideband power-efficient inductive wireless link for implantable microelectronic devices using multiple carriers. - Jennifer Blain Christen
, Andreas G. Andreou:
Hybrid silicon/silicone (polydimethylsiloxane) microsystem for cell culture. - Pengfei Li, Rizwan Bashirullah, José Carlos Príncipe:
A low power battery management system for rechargeable wireless implantable electronics. - Chun-Yang Chen, P. P. Vaidyanathan:
Precoded V-BLAST for ISI MIMO channels. - Sizhong Chen, Tong Zhang, Manish Goel:
Relaxed tree search MIMO signal detection algorithm design and VLSI implementation. - Markus Wenk, Martin Zellweger, Andreas Burg, Norbert Felber, Wolfgang Fichtner:
K-best MIMO detection VLSI architectures achieving up to 424 Mbps. - Sungchung Park, Kwyro Lee, Sin-Chong Park:
Efficient probabilistic sphere decoding architecture. - Qingwei Li, Zhongfeng Wang:
Improved k-best sphere decoding algorithms for MIMO systems. - Chia-Nan Yeh, Yen-Tai Lai:
Low power readout control circuit for high resolution CMOS image sensor. - Jagdish Chandra Patra, Ee Luang Ang, Pramod Kumar Meher:
A novel neural network-based linearization and auto-compensation technique for sensors. - Yiming Zhai, S. B. Prakash, Marc H. Cohen, Pamela Abshire:
Detection of on-chip temperature gradient using a 1.5V low power CMOS temperature sensor. - Sheng-Yu Peng, Muhammad Shakeel Qureshi, Paul E. Hasler, Neal A. Hall, F. L. Degertekin:
High SNR capacitive sensing transducer. - K. Osberg, Nathan Schemm, Sina Balkir, J. I. Brand, S. Hallbeck, Peter Dowben:
A hand-held neutron detection sensor system. - Tommaso Addabbo, Massimo Alioto, Ada Fort, Santina Rocchi, Valerio Vignoli:
A technique to design high entropy chaos-based true random bit generators. - Akio Tsuneda:
Chaotic p-ary sequences with exponential auto-correlation properties based on piecewise linear maps. - Slobodan Kozic, Martin Hasler:
Belief propagation decoding for codes based on discretized chaotic maps. - Stefano Vitali, Riccardo Rovatti, Gianluca Setti:
Improving PA efficiency by chaos-based spreading in multicarrier DS-CDMA systems. - Volnei A. Pedroni:
Phase sampling: a new approach to the design of LF direct digital frequency synthesizers. - G. W. Chang, Cheng-Yi Chen, Meng-Chi Wu:
Measuring harmonics by an improved FFT-based algorithm with considering frequency variations. - Petar M. Djuric, Miroslav Begovic, Joshua Perkel:
Prediction of power equipment failures based on chronological failure records. - Y. TenAmi, Dariusz Czarkowski, Zivan Zabar, H. Leeman:
Unit substation demand estimator. - Hiroyuki Mori, Satoshi Saito:
Power system network topology identification with MLD transform and tabu search. - Michael Kleinberg, Karen Nan Miu, Chika O. Nwankpa:
Radial distribution power flow studies in a remotely distributed environment. - Katherine L. Cameron, Alan F. Murray, Steve Collins:
Spike timing dependent adaptation for mismatch compensation. - Davide Badoni, Massimiliano Giulioni, Vittorio Dante, Paolo Del Giudice:
An aVLSI recurrent network of spiking neurons with reconfigurable and plastic synapses. - Sankalp S. Modi, Peter R. Wilson, Andrew D. Brown:
Power aware learning for class AB analogue VLSI neural network. - Elisabetta Chicca
, Patrick Lichtsteiner, Tobi Delbrück
, Giacomo Indiveri, Rodney J. Douglas:
Modeling orientation selectivity using a neuromorphic multi-chip system. - Nicolás J. Medrano-Marqués, Guillermo Zatorre, Santiago Celma:
Sensor compensation using analogue-digital adaptive circuits. - Jacqueline E. Rice:
A new look at reversible memory elements. - Bo Fu, Paul Ampadu:
Techniques for robust energy efficient subthreshold domino CMOS circuits. - Omer Can Akgun, Yusuf Leblebici:
Weak inversion performance of CMOS and DCVSPG logic families in sub-300 mV range. - Kristian Granhaug, Snorre Aunet, Tor Sverre Lande:
Body-bias regulator for ultra low power multifunction CMOS gates. - Bill Pontikakis, François R. Boyer, Yvon Savaria:
A 0.8V algorithmically defined buffer and ring oscillator low-energy design for nanometer SoCs. - Massimo Alioto, Luca Pancioni
, Santina Rocchi, Valerio Vignoli:
Analysis and design of MCML gates with hysteresis. - Qing Wu, Jingyi Zhang, Qinru Qiu:
Design considerations for digital circuits using organic thin film transistors on a flexible substrate. - Qadeer Ahmad Khan, G. K. Siddhartha:
A sequence independent power-on-reset circuit for multi-voltage systems. - Lei Wang, Niral Patel:
Reducing error accumulation effect in multithreaded memory systems. - Mohammad Hekmat, Shahriar Mirabbasi, Majid Hashemi:
On the behaviour of passive guard-rings in lightly doped substrates. - Hadi Esmaeilzadeh, A. Moghimi, Eiman Ebrahimi, Caro Lucas, Zainalabedin Navabi, A. M. Fakhraie:
DCim++: a C++ library for object oriented hardware design and distributed simulation. - Rumi Zhang, Graham A. Jullien, Wei Wang, Anestis Dounavis:
Passive reduced-order macromodeling algorithm for structure dynamics in MEMS systems. - Pei-Yu Huang, Yu-Min Lee, Jeng-Liang Tsai, Charlie Chung-Ping Chen:
Simultaneous area minimization and decaps insertion for power delivery network using adjoint sensitivity analysis with IEKS method. - Nei-Chiung Perng, Jian-Jia Chen, Chuan-Yue Yang, Tei-Wei Kuo
:
Energy-efficient scheduling on multi-context FPGAs. - Jonathan A. Clarke, Altaf Abdul Gaffar, George A. Constantinides, Peter Y. K. Cheung:
Fast word-level power models for synthesis of FPGA-based arithmetic. - George T. Zardalidis:
Simulation of the nano electronic single-electron transistor and the nanoelectronic C-NOT single-electron gate. - Zhi Huo, Qishan Zhang, S. Haruehanroengra, Wei Wang:
Logic optimization for majority gate-based nanoelectronic circuits. - Pablo Sergio Mandolesi, Pedro Julián, Andreas G. Andreou:
A simplicial CNN visual processor in 3D SOI-CMOS. - Francisco Tejada, Andreas G. Andreou, Philippe O. Pouliquen:
Stacked, standing wave detectors in 3D SOI-CMOS. - Edward Choi, Zhiyong Gu, David H. Gracias
, Andreas G. Andreou:
Chip-scale magnetic sensing and control of nanoparticles and nanorods. - Hao Liu, Wenjun Zhang, Xiaokang Yang:
Retransmission-based error spreading for layered video streaming over wireless LANs. - Dayong Tao, Jianfei Cai:
VBR video delivery under constrained resources using motion-aware optimal frame selection. - Yun Tang, Lifeng Sun, Meng Zhang, Shiqiang Yang, Yuzhuo Zhong:
On deployment of overlay network for live video streaming. - N. Srisawaivilai, Supavadee Aramvith:
Improved frame and basic unit layers bit allocation scheme for H.264 video transmission over ARQ-based wireless channels. - Chih-Ming Fu, Wen-Liang Hwang, Chung-Lin Huang:
Error concealment protection for loss resilient bitplane-coded video communications. - Chang Hee Hyung, Jin Bong Sung, Jung Hwan Hwang, Jin Kyung Kim, Duck Gun Park, Sung Weon Kang:
A novel system for intrabody communication: touch-and-play. - Leonid Belostotski, James W. Haslett, B. Veidt:
Wide-band CMOS low noise amplifier for applications in radio astronomy. - Thitipan Rutpralom, Kosin Chamnongthai, Pinit Kumhom
, Monai Krairiksh:
Nondestructive durian maturity determination by using microwave free space measurement. - David M. Horan, Richard A. Guinee:
A novel pseudorandom binary sequence generator for keystream generation. - Panagiotis Varzakas:
Optimal distance estimation for the spectral efficiency of an hybrid cellular DS/SFH CDMA system. - Liang Zhou, Michiharu Nakamura:
Weighted Viterbi decoding for MIMO-OFDM systems with linear precoding. - Xinping Huang, Zhiwen Zhu, Mario Caron:
A 30GHz 155Mbit/s self-calibrating direct transmitter. - Bao-Yun Wang, Wei Xing Zheng:
Exact BER of transmitter antenna selection/receiver-MRC over spatially correlated Nakagami-fading channels. - Yuan-Hwui Chung, See-May Phoong:
Linearly precoded ST-OFDM systems in the presence of ISI. - Shanying Wu, Simon S. F. Hau, Y. M. Wong:
The effect of D/A accuracy on the performance of digital predistortion for RF power amplifiers. - Wei Shu, Joseph Sylvester Chang, Tong Ge, Meng Tong Tan:
Fourier series analysis of the nonlinearities in analog closed-loop PWM class D amplifiers. - Tong Ge, Joseph Sylvester Chang, Wei Shu:
Modeling and analysis of PSRR in analog PWM class D amplifiers. - Mo M. Zhang, Paul J. Hurst:
Effect of nonlinearity in the CMFB circuit that uses the differential-difference amplifier. - Mikko Loikkanen, Juha Kostamovaara:
PSRR improvement technique for amplifiers with Miller capacitor. - Pere Palà-Schönwälder, Jordi Bonet-Dalmau
, F. Xavier Moncunill-Geniz, Francisco del Águìla López, M. Rosa Giralt-Mas:
Exploiting circuit instability to achieve wideband linear amplification. - Weining Ni, Xueyang Geng, Yin Shi, Foster F. Dai:
A 12-bit 300 MHz CMOS DAC for high-speed system applications. - José Luis Merino, Lluís Terés
, Jordi Carrabina
:
A current copying structure for current-mode monotonic digital-to-analog converters. - Jun-Hong Weng, Chong-Jng Yu, Ching-Yuan Yang, Peng-Chang Yang:
A low-noise microsensor amplifier with automatic gain control system. - Georgi I. Radulov, Patrick J. Quinn, Pieter C. W. van Beek, Johannes A. Hegt, Arthur H. M. van Roermund:
A binary-to-thermometer decoder with built-in redundancy for improved DAC yield. - Caspar P. L. van Vroonhoven, Daniel Rocha, Michael J. Vellekoop, Christa Nöhammer:
A readout circuit for capacitive biosensors with integrated SAR A/D conversion. - Ding-Yu Fang, Long-Wen Chang:
Data hiding for digital video with phase of motion vector. - Shu-Kei Yip, Oscar C. Au, Hoi-Ming Wong, Chi-Wang Ho:
Generalized lossless data hiding by multiple predictors. - Yuan Tai Hsu, Long-Wen Chang:
A new construction algorithm of visual crytography for gray level images. - Adarsh Golikeri, Panos Nasiopoulos, Z. Jane Wang:
An improved scalar quantization-based digital video watermarking scheme for H.264/AVC. - Shigeyuki Sakazawa, Yasuhiro Takishima, Yasuyuki Nakajima:
H.264 native video watermarking method. - Fei Sun, Siddharth Devarajan, Kenneth Rose, Tong Zhang:
Multilevel flash memory on-chip error correction based on trellis coded modulation. - Aiman El-Maleh:
An efficient test vector compression technique based on block merging. - Gian Carlo Cardarilli, Salvatore Pontarelli, Marco Re, Adelio Salsano:
Concurrent error detection in Reed Solomon decoders. - Andrew B. T. Hopkins, Klaus D. McDonald-Maier
:
Debug support for embedded processor reuse. - Jie Don, Yu Hu, Yinhe Han, Xiaowei Li:
An on-chip combinational decompressor for reducing test data volume. - Sorin A. Huss:
Analog circuit synthesis: a search for the Holy Grail? - Xiaoying Wang, Lars Hedrich:
Hierarchical exploration and selection of transistor-topologies for analog circuit design. - R. Jancke, P. Schwarz:
Supporting analog synthesis by abstracting circuit behavior using a modeling methodology. - Kaiping Zeng, Sorin A. Huss:
Architecture refinements by code refactoring of behavioral VHDL-AMS models. - Daniel Mueller, Guido Stehr, Helmut E. Graeb, Ulf Schlichtmann
:
Fast evaluation of analog circuit structures by polytopal approximations. - George Economakos, Kostas Anagnostopoulos:
Bit level architectural exploration technique for the design of low power multipliers. - Paul Bougas, Andreas Tsirikos, Kostas Anagnostopoulos, Isidoros Sideris, Kiamal Z. Pekmestzi:
Segmentation based design of serial parallel multipliers. - Jinyao Zhang, Miodrag Vujkovic, David Wadkins, Carl Sechen:
Post-layout energy-delay analysis of parallel multipliers. - Md. Ibrahim Faisal, Magdy A. Bayoumi, Peiyi Zhao:
A low-power clock frequency multiplier. - Zih-Heng Chen, Ming-Haw Jing, Jian-Hong Chen, Yaotsu Chang:
New viewpoint of bit-serial/parallel normal basis multipliers using irreducible all-one polynomial. - Pieter Harpe, Athon Zanikopoulos, Hans Hegt, Arthur H. M. van Roermund:
Digital post-correction of front-end track-and-hold circuits in ADCs. - Karim Abdelhalim, Leonard MacEachern, Samy A. Mahmoud:
A nanowatt ADC for ultra low power applications. - Zhengming Fu, Eugenio Culurciello:
An ultra-low power silicon-on-sapphire ADC for energy-scavenging sensors. - A. Shrivastava:
12-bit non-calibrating noise-immune redundant SAR ADC for system-on-a-chip. - Kati Virtanen, Mikko Pänkäälä, Mika Laiho, Ari Paasio:
Implementation of an asynchronous current-mode ADC with adaptive quantization. - Mykhaylo A. Teplechuk, John I. Sewell:
Realisation of asymmetrical complex filters in log-domain. - Mykhaylo A. Teplechuk, John I. Sewell:
The approximation of arbitrary complex filter responses. - Chun-Lung Hsu, Yu-Kuan Wu, Yi-Ting Lai, Mean-Hom Ho:
Design of current-mode resonator for wireless applications. - Costas Psychalinos:
Improved building blocks for log-domain linear transformation filters. - Carlos Aristoteles De la Cruz-Blas, Antonio J. López-Martín:
Compact power-efficient CMOS exponential voltage-to-voltage converter. - Chutham Sawigun
, Jirayuth Mahattanakul:
A low-voltage CMOS linear transconductor suitable for analog multiplier application. - Yasutaka Haga, Richard C. S. Morling, Izzet Kale:
A new bulk-driven input stage design for sub 1-volt CMOS op-amps. - P. Naktongkul, Apinunt Thanachayanont:
1.5-V 900-µW 40-dB CMOS variable gain amplifier. - Belén Calvo, Santiago Celma, Pedro A. Martínez, Maria Teresa Sanz:
1.8 V-100 MHz CMOS programmable gain amplifier. - Xiao-Yong He, Kong-Pang Pun, Oliver Chiu-sing Choy, Cheong-Fat Chan:
A 0.5V fully differential OTA with local common feedback. - H. Cheng, Z. G. Zhang, S. C. Chan:
Robust channel estimation and multiuser detection for MC-CDMA systems under narrowband interference. - S. C. Chan, S. H. Zhao:
Transmit/receive beamformer design and power control in MIMO MC-CDMA systems. - Gian Carlo Cardarilli, Andrea Del Re, Marco Re, Lorenzo Simone:
Optimized QPSK modulator for DVB-S applications. - Yuan-Pei Lin, Yu-Pin Lin, See-May Phoong:
A frequency domain based TEQ design for DSL systems. - Heng-Cheng Yeh, Leon Lin:
Intersymbol and intercarrier interference canceller for multi-carrier modulation receivers. - Jiann-Chyi Rau, Jun-Yi Chang, Chien-Shiun Chen:
A broadcast-based test scheme for reducing test size and application time. - Sean Safarpour, Andreas G. Veneris, Rolf Drechsler:
Integrating observability don't cares in all-solution SAT solvers. - Kuo-Hsing Cheng, Chan-Wei Huang, Shu-Yu Jiang:
Self-sampled vernier delay line for built-in clock jitter measurement. - Jayawant Kakade, Dimitrios Kagaris:
Phase shifts and linear dependencies. - Nikolaos Kavvadias, Spiridon Nikolaidis:
A portable specification of zero-overhead looping control hardware applied to embedded processors. - Filipe C. C. Beltrao Diniz, Sergio L. Netto
, Paulo M. T. de Oliveira, Márcio N. de Souza:
On the wavelet-based elimination of stimulus artifacts in click-evoked otoacoustic emissions. - Eddie B. L. Filho, Eduardo A. B. da Silva, Waldir S. S. Júnior, Murilo B. de Carvalho:
ECG compression using multiscale recurrent patterns with period normalization. - Yodchanan Wongsawat, Soontorn Oraintara, Toshihisa Tanaka, Kamisetty R. Rao:
Lossless multi-channel EEG compression. - Haihong Zhang, Cuntai Guan, Yuanqing Li:
Signal processing for brain-computer interface: enhance feature extraction and classification. - Z. G. Zhang, W. Y. Lau, S. C. Chan:
A new Kalman filter-based power spectral density estimation for nonstationary pressure signals. - Viet-Hoang Le, Trung-Kien Nguyen, Seok-Kyun Han, Sang-Gug Lee, S. B. Hyun:
Low power high linearity transmitter front-end for 900 MHz Zigbee applications. - Stamatios V. Kartalopoulos:
Circuit for statistical estimation of BER and SNR in telecommunications. - German C. Luna, Diego E. Crivelli, Mario Rafael Hueda, Oscar E. Agazzi:
Compensation of track and hold frequency response mismatches in interleaved analog to digital converters for high-speed communications. - Kambiz K. Moez, Mohammad Ibrahim Elmasry:
A novel loss compensation technique for broadband CMOS distributed amplifiers. - Trung-Kien Nguyen, Sang-Gug Lee:
Low-voltage, low-power CMOS operation transconductance amplifier with rail-to-rail differential input range. - Suat U. Ay:
Spectral response improvement of CMOS APS pixel through lateral collection. - Yu M. Chi, Udayan Mallik
, Edward Choi, Matthew A. Clapp, G. Gauwenberghs, Ralph Etienne-Cummings:
CMOS pixel-level ADC with change detection. - Honghao Ji, Pamela Abshire:
A CMOS image sensor for low light applications. - Miriam Adlerstein Marwick, Andreas G. Andreou:
Retinomorphic system design in three dimensional SOI-CMOS. - Patrick Lichtsteiner, Tobi Delbrück, Christoph Posch:
A 100dB dynamic range high-speed dual-line optical transient sensor with asynchronous readout. - Igor M. Filanovsky, Ahmed Allam, Luís Bica Oliveira, Jorge R. Fernandes:
Quadrature Van der Pol oscillators using second harmonic coupling. - Zbigniew Galias
:
Short periodic orbits and topological entropy for the Chua's circuit. - Michail Papamichail, Dimitris Karadimas
, Kostas Efstathiou, George D. Papadopoulos:
Linear range extension of a phase-frequency-detector with saturated output. - G. Reddy Gangasani, Peter R. Kinget
:
Injection-lock dynamics in non-harmonic oscillators. - Sohrab Samadian, Michael M. Green:
Phase noise in dual inverter-based CMOS ring oscillators. - Satoshi Akatsu, Hiroyuki Torikai, Toshimichi Saito:
Current-mode instantaneous state setting method and its application to an H-bridge inverter. - Aaron St. Leger, Chika O. Nwankpa:
Static generator model for analog power flow computation. - Anthony S. Deese, Chika O. Nwankpa:
Emulation of power system load dynamic behavior through reconfigurable analog circuits. - C. F. Moyano, Roberto S. Salgado, Luciano V. Barboza:
On the determination of adjusted OPF solutions. - Hiroyuki Mori, Yukihiro Maeda:
Application of two-layered tabu search to optimal allocation of UPFC for maximizing transmission capability. - Hanli Wang, Sam Kwong
, Chi-Wah Kok:
Fast video coding based on Gaussian model of DCT coefficients. - Chung-Hyo Kim
, In-Cheol Park
:
High speed decoding of context-based adaptive binary arithmetic codes using most probable symbol prediction. - Guobin Shen, Yuwen He, Wanyong Cao, Shipeng Li
:
Complexity scalable MPEG-2 to WMV transcoder with adaptive error compensation. - Guangtao Zhai, Wenjun Zhang, Xiaokang Yang, Susu Yao, Yi Xu:
GES: a new image quality assessment metric based on energy features in Gabor transform domain. - Mohammed Imamul Hassan Bhuiyan, M. Omair Ahmad, M. N. S. Swamy:
Wavelet-based spatially adaptive method for despeckling SAR images. - Satoshi Komatsu, Masahiro Fujita:
An optimization of bus interconnects pitch for low-power and reliable bus encoding scheme. - Mohsen Saneei, Ali Afzali-Kusha, Zainalabedin Navabi:
Low-power and low-latency cluster topology for local traffic NoCs. - Hadi Parandeh-Afshar, Ali Afzali-Kusha, Ali Khaki-Firooz:
A very high performance address BUS encoder. - Sujan Pandey, Manfred Glesner:
Energy efficient MPSoC on-chip communication bus synthesis using voltage scaling technique. - Avnish R. Brahmbhatt, Jingyi Zhang, Qinru Qiu, Qing Wu:
Adaptive low-power bus encoding based on weighted code mapping. - Appaya Devaraj Swaminathan, Nastooh Avessta:
Integer linear programming method for spatial temporal mapping of the Viterbi decoder. - Gunjae Koo, Woochul Jung
, Heesub Lee:
A robust PRML read channel with digital timing recovery for multi-format optical disc. - Takashi Kambe, H. Matsuno, Y. Miyazaki, Akihisa Yamada:
C-based design of a real time speech recognition system. - Yu-Ting Kuo, Tay-Jyi Lin, Yi Cho, Chih-Wei Liu, Chein-Wei Jen:
Programmable FIR filter with adder-based computing engine. - Evangelos F. Stefatos, I. Bravos, Tughrul Arslan:
Low-power implementation of FIR filters within an adaptive reconfigurable architecture. - Ying Wei, Alex Doboli:
Library of structural analog cell macromodels for design of continuous-time reconfigurable Delta Sigma modulators. - Metin Sengül, Johannes F. Trabert, Kurt Blau, B. Siddik Yarman, Matthias A. Hein:
Power transfer networks at RF frequencies: new design procedures with implementation roadmap. - Hong Yu, Yasuaki Inoue, Yuki Matsuya, Zhangcai Huang:
An effective pseudo-transient algorithm for finding DC operating points of nonlinear circuits. - Mukesh Ranjan, Ranga Vemuri:
Exact hierarchical symbolic analysis of large analog networks using a general interconnection template. - V. A. Pothiwala, Anestis Dounavis:
Efficient passive transmission line macromodeling algorithm using method of characteristics. - Jun Inagaki, J. Nakajima, Miki Haseyama:
A multiobjective service restoration method for power distribution systems. - Tuhina Samanta, Prasun Ghosal, Hafizur Rahaman, Parthasarathi Dasgupta:
A heuristic method for constructing hexagonal Steiner minimal trees for routing in VLSI. - Jin-Tai Yan, Kai-Ping Lin, Yue-Fong Luo:
Floorplan-aware decoupling capacitance budgeting on equivalent circuit model. - Satoshi Tayu, Kumiko Nomura, Shuichi Ueno:
On the two-dimensional orthogonal drawing of series-parallel graphs. - Jin-Tai Yan, Kuen-Ming Lin, Yen-Hsiang Chen:
Optimal shielding insertion for inductive noise avoidance. - Bin Feng, Guangxi Zhu, Wenyu Liu:
Fast adaptive inter-prediction mode decision method for H.264 based on spatial correlation. - Yongming Yang, Chao Xu:
Fast wavelet packet basis selection for block-partitioning image coding. - Lijie Liu, Wei Dai, Trac D. Tran:
JPEG-compliant image coding with adaptive pre-/post-filtering. - Chen Wang, Xiaoyan Sun, Feng Wu, Hongkai Xiong:
Image compression with structure-aware inpainting. - Vasily G. Moshnyaga, Kenji Wakisaka:
Reducing computations in MPEG2 video decoder. - Peter Rauschert, Arasch Honarbacht, Anton Kummert:
Synchronization of multihop ad hoc networks using connected dominating sets. - Ilhan Kaya, Taskin Koçak:
Increasing the power efficiency of Bloom filters for network string matching. - Yuan-Sun Chu, Hui-Kai Su, Po-Feng Lin, Ming-Jen Chen:
High speed routing lookup IC design for IPv6. - M. A. A. Mohamed, Hossam M. H. Shalaby, El-Sayed A. El-Badawy:
On optical CDMA MAC protocols. - Manho Kim, Daewook Kim, Gerald E. Sobelman:
Network-on-chip quality-of-service through multiprotocol label switching. - Emmanouil Benetos, Margarita Kotti, Constantine Kotropoulos:
Musical instrument classification using non-negative matrix factorization algorithms. - M. Khademul Islam Molla, Keikichi Hirose, Nobuaki Minematsu:
Localization based audio source separation by sub-band beamforming. - Yu Shao, Chip-Hong Chang:
A novel hybrid neuro-wavelet system for robust speech recognition. - Margarita Kotti, Emmanouil Benetos, Constantine Kotropoulos:
Automatic speaker change detection with the Bayesian information criterion using MPEG-7 features and a fusion scheme. - Ümit Güz, Hakan Gürkan, B. Siddik Yarman:
A new speech modeling method: SYMPES. - Tien-Yu Lo, Chung-Chih Hung:
A high speed and high linearity OTA in 1-V power supply voltage. - Carlos Muñiz-Montero, Alejandro Díaz-Sánchez, Ramón González Carvajal:
Offset compensation using unbalanced polarization. - Erhan Ozalevli
, Muhammad Shakeel Qureshi, Paul E. Hasler:
Low-voltage floating-gate CMOS buffer. - Chunyan Wang:
Implementation of space-efficient voltage-insensitive capacitances in integrated circuits. - Rainer Krenzke, Cang Ji, O. Salzmann:
High-voltage drive and I/O interfaces in a 0.35µm CMOS process. - Alonso Morgado, Rocío del Río, José M. de la Rosa, Fernando Medeiro, Maria Belen Pérez-Verdú, Francisco V. Fernández, Ángel Rodríguez-Vázquez:
Reconfiguration of cascade Sigma Delta modulators for multistandard GSM/Bluetooth/UMTS/WLAN transceivers. - Jen-Shiun Chiang, Yi-Tsung Li, Hsin-Liang Chen:
A 20-MS/s sigma delta modulator for 802.11a applications. - Kin-Sang Chio, Seng-Pan U., Rui Paulo Martins:
A dual-mode low-distortion sigma-delta modulator with relaxing comparator accuracy. - Nicolas Beilleau, Abla Kammoun, Hassan Aboushady
:
Systematic design method for LC bandpass Sigma Delta modulators with feedback FIRDACs. - Ali Naderi, Mohamad Sawan, Yvon Savaria:
A novel 2-GHz band-pass delta modulator dedicated to wireless receivers. - Jia-Wei Chen, Kuan-Hung Chen, Jinn-Shyan Wang, Jiun-In Guo:
A performance-aware IP core design for multimode transform coding using scalable-DA algorithm. - Kyusik Chung, Chang-Hyo Yu, Lee-Sup Kim:
Vertex cache of programmable geometry processor for mobile multimedia application. - Milan Pastrnak, Peter H. N. de With, Jef L. van Meerbergen:
Realization of QoS management using negotiation algorithms for multiprocessor NoC. - Ashok Kumar Das, S. K. Ghosh:
A bidirectional linear semi-systolic architecture for DCT-domain image resizing processor. - Zhe Wei, Canhui Cai:
Realization and optimization of DSP based H.264 encoder. - Daeik D. Kim, Martin A. Brooke:
Scalable delta-sigma modulator readout architecture for array-based sensor system. - Vivek Nigam, Masud H. Chowdhury, Roland Priemer:
Compound noise analysis in digital circuits using blind source separation. - Tim Barrett, Sumit D. Mediratta, Taek-Jun Kwon, Ravinder Singh, Sachit Chandra, Jeff Sondeen, Jeffrey T. Draper:
A double-data rate (DDR) processing-in-memory (PIM) device with wideword floating-point capability. - Youngkwon Jo, Yong Shim, Soo Hwan Kim, Suki Kim, Kwanjun Cho:
A mixed-structure delay locked-loop with wide range and fast locking. - Yijun Li, Magdy A. Bayoumi:
A power-efficient architecture for EBCOT tier-1 in JPEG 2000. - Stephen B. Furber, Steve Temple, Andrew D. Brown:
On-chip and inter-chip networks for modeling large-scale neural systems. - Zoltán Nagy, Zsolt Vörösházi, Péter Szolgay:
An advanced emulated digital retina model on FPGA to implement a real-time test environment. - L. Carranza, R. Laviana, Sonia Vargas-Sierra, Jorge Cuadri, Gustavo Liñán
, Elisenda Roca
, Ángel Rodríguez-Vázquez:
Locust-inspired vision system on chip architecture for collision detection in automotive applications. - H. Spaanenburg, J. Thompson, V. Abraham, Lambert Spaanenburg, Wenhai Fang:
Need for large local FPGA-accessible memories in the integration of bio-inspired applications into embedded systems. - Björn Jäger, Mario Porrmann
, Ulrich Rückert:
Bio-inspired massively parallel architectures for nanotechnologies. - Bhavna Agrawal, Jeffrey G. Hemmett, Karl K. Moody, David B. White:
Techniques to address increased dimensionality of ASIC library design. - Yuan Chen, Fei Xia, Alexandre Yakovlev:
Virtual self-timed blocks for systems-on-chip. - Jinn-Shyan Wang, Yu-Juey Chang, Chingwei Yeh, Yuan-Hua Chu:
Design of STR level converters for SoCs using the multi-island dual-VDD design technique. - Lan-Da Van, Hsin-Fu Luo, Nien-Hsiang Chang, Chun-Ming Huang:
A cost-effective reconfigurable accelerator for platform-based SOC design. - Calin Ciordas, Kees Goossens, Andrei Radulescu, Twan Basten:
NoC monitoring: impact on the design flow. - Carlos Aristoteles De la Cruz-Blas, Antonio J. López-Martín, Alfonso Carlosena, Luis Hernández, Arturo Sarmiento-Reyes:
1.5-V square-root domain first-order filter with multiple operating points. - Boonchai Boonchu, Wanlop Surakampontorn:
CMOS voltage-mode analog multiplier. - Alistair McEwan, Steve Collins:
A compact direct digital frequency synthesis architecture. - Pawel Grybos
, Marek Idzik
, Krzysztof Swientek
, Piotr Maj
:
Integrated charge sensitive amplifier with pole-zero cancellation circuit for high rates. - Acacio Galhardo, João Goes, Nuno F. Paulino:
Novel linearization technique for low-distortion high-swing CMOS switches with improved reliability. - Y. Le Guillou:
The effects of quantizer metastability on the SNR of continuous-time Sigma Delta modulators with return-to-zero switched current DAC. - Robert H. M. van Veldhoven, Peter Nuijten, Paul T. M. van Zeijl:
The effect of clock jitter on the DR of Sigma Delta modulators. - Luis Hernández, Susana Patón, Andreas Wiesbauer:
Spectral shaping of clock jitter errors for continuous time sigma-delta modulators. - Friedel Gerfers, Maurits Ortmanns, P. Schmitz:
A transistor-based clock jitter insensitive DAC architecture. - Karthikeyan Reddy, Shanthi Pavan:
Fundamental limitations of continuous-time delta-sigma modulators due to clock jitter. - George E. Antoniou:
Minimal circuit and state space realization of generalized 3-D lattice-ladder discrete filters. - Jun Wei Lee, Yong Ching Lim, Sim Heng Ong:
A flexible and efficient sharp filter bank architecture for variable bandwidth systems. - Kai Man Tsui, Shing-Chow Chan:
On the design of two-channel 2D nonseparable multiplet perfect reconstruction filter banks. - Chao Wu, Wei-Ping Zhu, M. N. S. Swamy:
Design of Mth-band FIR filters based on generalized polyphase structure. - Yi Chen, Michael D. Adams
, Wu-Sheng Lu:
Design of optimal quincunx filter banks for image coding. - Mi-Kyung Oh, Byunghoo Jung, Dong-Jo Park:
Low-complexity hop timing synchronization in frequency hopping systems. - Tero Ihalainen, Tobias Hidalgo Stitz, Ari Viholainen, Markku Renfors:
Performance comparison of LDPC-coded FBMC and CP-OFDM in beyond 3G context. - Hye-Mi Choi, Ji-Hoon Kim, In-Cheol Park:
Low-power hybrid turbo decoding based on reverse calculation. - Ricardo Merched, I. S. Gadelha Figueiredo:
Block precoder-based energy constrained DFE. - He Zheng, Hanying Hu:
MMSE-based design of scaled and offset BP-based decoding algorithms on the fast Rayleigh fading channel. - Guoqing Chen, Eby G. Friedman:
Effective capacitance of RLC loads for estimating short-circuit power. - Shuji Tsukiyama, Masahiko Tomita:
An algorithm for calculating correlation coefficients between Elmore interconnect delays. - Jonathan Rosenfeld, Eby G. Friedman:
Design methodology for global resonant H-tree clock distribution networks. - Houman Zarrabi, Haydar Saaied, Asim J. Al-Khalili, Yvon Savaria:
Zero skew differential clock distribution network. - Weixiang Shen, Yici Cai, Jiang Hu, Xianlong Hong, Bing Lu:
High performance clock routing in X-architecture. - Paola Hobson, Yiannis Kompatsiaris:
Advances in semantic multimedia analysis for personalised content access. - A. Murat Tekalp:
Semantic multimedia analysis for content-adaptive video streaming. - Noel E. O'Connor, Hyowon Lee, Alan F. Smeaton, Gareth J. F. Jones, Eddie Cooke, Hervé Le Borgne, Cathal Gurrin:
Fischlar-TRECVid-2004: combined text- and image-based searching of video archives. - A. Evans, Miriam Fernández, David Vallet, Pablo Castells:
Adaptive multimedia access: from user needs to semantic personalisation. - Lynda Hardman, Jacco van Ossenbruggen:
Creating meaningful multimedia presentations. - Ming-Ta Hsieh, Gerald E. Sobelman:
Modeling and verification of high-speed wired links with Verilog-AMS. - Armin Tajalli, Paul Muller, Seyed Mojtaba Atarodi
, Yusuf Leblebici:
Analysis and modeling of jitter and frequency tolerance in gated oscillator based CDRs. - Chang-Kyung Seong, Seung-Woo Lee, Woo-Young Choi:
A 1.25-Gb/s digitally-controlled dual-loop clock and data recovery circuit with enhanced phase resolution. - Franklin Bien, Youngsik Hur, Moonkyun Maeng, Hyoungsoo Kim, Edward Gebara, Joy Laskar:
A reconfigurable fully-integrated 0.18µm CMOS feed forward equalizer IC for 10-Gb/sec backplane links. - Yuxiang Zheng, Jiang Li, Jin Liu, Qian Yu:
Automatic within-pair-skew compensation for 6.25 Gbps differential links using wide-bandwidth delay units. - Chin-Teng Lin
, Sheng-Fu Liang, Yu-Chieh Chen, Yung-Chi Hsu, Li-Wei Ko:
Driver's drowsiness estimation by combining EEG signal analysis and ICA-based fuzzy neural networks. - C. Dumortier, Benoit Gosselin, Mohamad Sawan:
Wavelet transforms dedicated to compress recorded ENGs from multichannel implants: comparative architectural study. - Joseph N. Y. Aziz, Rafal Karakiewicz, Roman Genov, Berj L. Bardakjian, Miron Derchansky, Peter L. Carlen:
Real-time seizure monitoring and spectral analysis microsystem. - Yijin Wang, Ming Hsing, Chen Xu, Jiong Li, Mansun Chan:
A single chip micro-DNA-array system based on CMOS image sensor technology. - Jianfeng Chen, Koksoon Phua, Ying Song, Louis Shue:
A portable phonocardiographic fetal heart rate monitor. - Zbigniew Galias:
Finite switching frequency effects in the sliding mode control of the double integrator system. - K. C. Tam, Simon C. Wong, C. K. Tse:
Fast analytical approach to finding steady-state waveforms for power electronics circuits using orthogonal polynomial basis functions. - Muhammad Yasser, Agus Trisanto, Jianming Lu, Hiroo Sekiya, Takashi Yahagi:
Adaptive sliding mode control using simple adaptive control for SISO nonlinear systems. - Ubirajara F. Moreno, Eugênio B. Castelan
, Edson R. de Pieri:
Symbolic analysis of bifurcations in planar variable structure systems. - Abdelali El Aroudi, Bruno Robert, Luis Martínez-Salamero:
Modelling and analysis of multicell converters using discrete time models. - Radu P. Matei:
Design of a class of maximally-flat spatial filters. - Norikazu Takahashi
, Tetsuo Nishi:
A sufficient condition for 1D CNNs with antisymmetric templates to perform connected component detection. - Guido De Sandre, Mauro Forti, Paolo Nistri, Amedeo Premoli:
Full-range cellular neural networks and differential variational inequalities. - Domenico Porto:
A new method for matrix description of genetic algorithms. - Paolo Checco, Fernando Corinto
:
CNN-based algorithm for drusen identification. - Benoit Gosselin, Amer E. Ayoub, Mohamad Sawan:
A low-power bioamplifier with a new active DC rejection scheme. - Thomas Stieglitz:
Biomedical microimplants for sensory and motor neuroprostheses. - Paul T. Watkins, Ryan J. Kier, Robert O. Lovejoy, Daniel J. Black, Reid R. Harrison:
Signal amplification, detection and transmission in a wireless 100-electrode neural recording system. - Maysam Ghovanloo:
Switched-capacitor based implantable low-power wireless microstimulating systems. - Joseph N. Y. Aziz, Roman Genov:
Electro-chemical multi-channel integrated neural interface technologies. - Hong-Yi Huang, Ching-Chieh Wu, Sen-Da Wu:
On-chip bidirectional transceiver. - Hsun-Chieh Yu, Rung-Bin Lin:
Is more redundancy better for on-chip bus encoding. - Zi-Ping Chen, Che-Hao Chuang, Ming-Dou Ker:
Design on new tracking circuit of I/O buffer in 0.13µm cell library for mixed-voltage application. - Ethiopia Nigussie, Juha Plosila, Jouni Isoaho
:
Full-duplex link implementation using dual-rail encoding and multiple-valued current-mode logic. - Kyung-Soo Ha, Lee-Sup Kim:
Charge-pump reducing current mismatch in DLLs and PLLs. - Bu Aiguo, Longxing Shi, Hu Chen, Li Jie, Chao Wang:
Energy-optimal dynamic voltage scaling for sporadic tasks. - Antonio Calomarde, Diego Mateo
, Antonio Rubio:
High level spectral-based analysis of power consumption in DSPs systems. - Kuo-Chuan Chao, Kuan-Hung Chen, Yuan-Sun Chu, Jiun-In Guo:
Low-power mechanism with power block management. - G. Razavipour, A. Motamedi, Ali Afzali-Kusha:
WL-VC SRAM: a low leakage memory circuit for deep sub-micron design. - Kanupriya Gulati, Nikhil Jayakumar, Sunil P. Khatri:
A probabilistic method to determine the minimum leakage vector for combinational designs. - Jiuchao Feng, Shengli Xie:
An unscented-transform-based filtering algorithm for noisy contaminated chaotic signals. - Gerasimos Theodoratos, Athanasios Vasilopoulos, Georgios Vitzilaios, Yannis Papananos:
Calculating distortion in active CMOS mixers using Volterra series. - Manuel de la Sen
, Aitor J. Garrido Hernandez, Juan Carlos Soto
, Oscar Barambones
, Francisco Javier Maseda, Izaskun Garrido Hernandez:
Time-sliding suboptimal regulation of bilinear interconnected systems. - Takayuki Kimura, Tohru Ikeguchi:
Optimization for packet routing using chaotic dynamics. - Xia Zheng, Francis Chung-Ming Lau
, C. K. Tse, Simon C. Wong:
Techniques for improving block error rate of LDPC decoders. - Christian Brañas, Francisco J. Azcondo, Rosario Casanueva:
A generalized study of multiphase parallel resonant inverters for high-power applications. - Toni López, Eduard Alarcón:
Performance of pn-junction diode lumped models for circuit simulators. - Vladimir G. Krizhanovski, Dmitrii V. Chernov, Marian K. Kazimierczuk:
Low-voltage self-oscillating class E electronic ballast for fluorescent lamps. - Jaber A. Abu-Qahouq, Wisam Al-Hoor, Liangbin Yao, Issa Batarseh:
Drive voltage optimization controller to improve efficiency. - Shaul Ozeri, D. Shmilovitz:
A time domain measurements procedure of piezoelectric transformers equivalent scheme parameters. - Heng-Ming Hsu, Ching-Liang Dai, Ming-Ming Hsieh, Ming-Chang Tsai, Hsuan-Jung Peng:
Implementation and analysis of microwave switch in CMOS-MEMS technology. - Chen Shoushun, Amine Bermak:
A second generation time-to-first-spike pixel with asynchronous self power-off. - Du Chen, Yuan Li, Dongming Xu, John G. Harris, José Carlos Príncipe:
Asynchronous biphasic pulse signal coding and its CMOS realization. - Ndubuisi Ekekwe, Ralph Etienne-Cummings, Peter Kazanzides:
A configurable VLSI chip for DC motor control for compact, low-current robotic systems. - Arantxa Uranga, Jordi Teva, Jaume Verd
, Joan Lluís Lopez, Francesc Torres, Gabriel Abadal, Núria Barniol, Jaume Esteve, Francesc Pérez-Murano
:
CMOS integrated MEMS resonator for RF applications. - Cameron T. Charles, David J. Allstot:
A 2-GHz CMOS variable gain amplifier optimized for low noise. - Emanuele Lopelli, Johan van der Tang, Arthur H. M. van Roermund:
An ultra-low power predistortion-based FHSS transmitter. - Ouail El-Gharniti, Eric Kerherve, Jean-Baptiste Bégueret:
Design and modeling of on-chip monolithic transformers with patterned ground shield. - Dicle Ozis, Jeyanandh Paramesh, David J. Allstot:
Analysis and design of lumped-element quadrature couplers with lossy passive elements. - Tero Tikka, Jussi Ryynänen, Mikko Hotti, Kari Halonen:
Design of a high linearity mixer for direct-conversion base-station receiver. - S. C. Chan, Yi Zhou:
Improved generalized-proportionate stepsize LMS algorithms and performance analysis. - Xiaolin Shi, Shu-hung Leung, Chi-Sing Leung:
Mean square error analysis of RLS algorithm for WSSUS fading channels. - Da-Zheng Feng, Wei Xing Zheng:
An adaptive algorithm for fast identification of FIR systems. - A. Natarajan, Venkatraman Atti, Andreas Spanias, Kostas Tsakalis, Leonidas D. Iasemidis:
A transform-domain G-PrOBE algorithm. - Jacek Izydorczyk:
Time delay estimation with coupled LMS filters. - G. Efthivoulidis:
Linear switched-capacitor circuit theorems. - S. M. Kashmiri, Sandro A. P. Haddad, Wouter A. Serdijn:
High-performance analog delays: surpassing Bessel-Thomson by Pade-approximated Gaussians. - Víctor Manuel Jimenez-Fernandez
, Luis Hernández-Martínez, Arturo Sarmiento-Reyes:
Decomposed piecewise-linear models by hyperplanes unbending. - Mihai Iordache, Lucia Dumitriu, Florin Constantinescu, Miruna Nitescu:
A new steady-state analysis method for RF-IC circuits driven by multi-tone signals. - Víctor Manuel Jimenez-Fernandez, Luis Hernández-Martínez, Arturo Sarmiento-Reyes:
A method for finding the DC solution regions in piecewise-linear networks. - Hassan El Aabbaoui, Benoit Gorisse, Nathalie Rolland, Aziz Benlarbi-Delaï, Jean-Francois Lampin, Paul-Alain Rolland, V. Allouche, Nicolas Fel, Bernard Riondet, Pascal Leclerc:
20GHz bandwidth digitizer for single shot analysis. - C. Thakkar, Anindya Sundar Dhar:
Sampled analog architecture for 2-D DCT. - Holly Pekau, Abdel Yousif, James W. Haslett:
A CMOS integrated linear voltage-to-pulse-delay-time converter for time based analog-to-digital converters. - Rajesh Thirugnanam, Dong Sam Ha, Bong Hyuk Park, Sangsung Choi:
Design of a tunable fully differential GHz range Gm-C lowpass filter in 0.18µm CMOS for DS-CDMA UWB transceivers. - James Moritz, Yichuang Sun:
100MHz, 6th order, leap-frog gm-C high Q bandpass filter and on-chip tuning scheme. - Stelios Krinidis, Vassilios Chatzis:
Frequency-based object orientation and scaling determination. - Jui-Chin Chu, Chih-Wen Huang, He-Chun Chen, Keng-Po Lu, Ming-Shuan Lee, Jiun-In Guo, Tien-Fu Chen:
Design of customized functional units for the VLIW-based multi-threading processor core targeted at multimedia applications. - Cheng-Yu Chang, You-Sheng Yeh, Pau-Choo Chung, Jar-Ferr Yang:
A real-time vision-interactive guiding system. - Christian Krätzer, Jana Dittmann, Thomas Vogel, Reyk Hillert:
Design and evaluation of steganography for voice-over-IP. - Chun-Hsien Chou, Kuo-Cheng Liu:
A perceptually optimized watermarking scheme for color visual information. - Yajuan He, Chip-Hong Chang:
A low-power, high-speed RB-to-NB converter for fast redundant binary multiplier. - Bogdan J. Falkowski, Cicilia C. Lozano, Susanto Rahardja:
Efficient computation of fixed polarity arithmetic expansions for ternary functions. - Erik Backenius, Erik Säll, Oscar Gustafsson
:
Bidirectional conversion to minimum signed-digit representation. - Panagiotis D. Vouzis, Mark G. Arnold:
A parallel search algorithm for CLNS addition optimization. - Sherif A. Tawfik, Hossam A. H. Fahmy:
Algorithmic truncation of minimax polynomial coefficients. - P. Martigne:
UWB for low data rate applications: technology overview and regulatory aspects. - Ruben Merz, Alaeddine El Fawal, Jean-Yves Le Boudec, Bozidar Radunovic, Jörg Widmer:
The optimal MAC layer for low-power UWB is non-coordinated. - Guerino Giancola, Daniele Domenicali, Maria-Gabriella Di Benedetto:
Application of fluid time hopping coding to multiple access in ultra wide band sensor networks. - Samuel Dubouloz, Alberto Rabbachin, Sébastien de Rivaz, Benoît Denis, Laurent Ouvry:
Performance analysis of low complexity solutions for UWB low data rate impulse radio. - Luca Reggiani, A. Tomasetta, Gian Mario Maggio:
Orthogonal convolutional modulation for UWB impulse radio communications. - Rafael Escovar, Salvador Ortiz, Roberto Suaya:
Mutual inductance between intentional inductors: closed form expressions. - Giulio Antonini
, Giuseppe Ferri:
A ladder network delay model for coupled interconnects. - Alexandre J. Aragão
, João Navarro Jr., Wilhelmus A. M. Van Noije:
Mismatch effect analyses in CMOS tapered buffers. - Simon Hollis, Simon W. Moore:
An area-efficient, pulse-based interconnect. - Gordon Allan, John Knight:
A compact 190µW PLL for clock control and distribution in ultra-large scale ICs. - Gordon Allan, John Knight:
Mixed-signal thermometer filtering for low-complexity PLLs/DLLs. - Hanqing Xing, Degang Chen, Randall L. Geiger:
Linearity test for high resolution DACs using low-accuracy DDEM flash ADCs. - Pui-In Mak
, Seng-Pan U., Rui Paulo Martins:
Design and test strategy underlying a low-voltage analog-baseband IC for 802.11a/b/g WLAN SiP receivers. - Quentin Diduck, John C. Liobe, Sadeka Ali, Martin Margala:
Process tolerant calibration circuit for PLL applications with BIST. - Lu Ping, Ye Fan, Junyan Ren:
A low-jitter frequency synthesizer with dynamic phase interpolation for high-speed Ethernet. - Amorn Jiraseree-amornkun, Apisak Worapishet, Eric A. M. Klumperink, Bram Nauta, Wanlop Surakampontorn:
Slew rate induced distortion in switched-resistor integrators. - Anand Pappu, Alyssa B. Apsel:
Synthesis of a current source using a formal design methodology. - Heyoung Lee, Zeungnam Bien:
Linear time-varying filter with variable bandwidth. - Zhisheng Duan, Jingxin Zhang, Cishen Zhang, Edoardo Mosca:
A simple design method of Hinfinity reduced-order filters for stochastic systems. - Jeroen De Maeyer, Pieter Rombouts, Ludo Weyten:
Nyquist criterion based design of continuous time Sigma Delta modulators. - Zhiping Lin, Yongzhi Liu:
FIR filter design with group delay constraint using semidefinite programming. - Wu-Sheng Lu:
Design of FIR filters with discrete coefficients via sphere relaxation. - Raija Lehto, Tapio Saramäki, Olli Vainio:
Formulas to generate efficient piecewise-polynomial implementations of narrowband linear-phase FIR filters. - Sabbir U. Ahmad, Andreas Antoniou:
A genetic algorithm approach for fractional delay FIR filters. - Wu-Sheng Lu, Takao Hinamoto:
A second-order cone programming approach for minimax design of 2-D FIR filters with low group delay. - Celia Shahnaz
, Wei-Ping Zhu, M. Omair Ahmad:
A multifeature voiced/unvoiced decision algorithm for noisy speech. - Victor Adrian, Bah-Hwee Gwee, Joseph Sylvester Chang:
An acoustic noise suppression system with reduced musical artifacts. - Naoya Wada, Noboru Hayasaka, Shingo Yoshizawa, Yoshikazu Miyanaga:
Direct control on modulation spectrum for noise-robust speech recognition and spectral subtraction. - Yu Shao, Chip-Hong Chang:
A generalized perceptual time-frequency subtraction method for speech enhancement. - Isao Nakanishi, Yuudai Nagata, Yoshio Itoh, Yutaka Fukui:
Single-channel speech enhancement based on frequency domain ALE. - Min Ma, Alfred Tze-Mun Leung, Roni Khazaka:
Sparse macromodels for parametric networks. - Claudio Passerone:
Real time operating system modeling in a system level design environment. - James Gaston, Kaamran Raahemifar, Peter Hiscocks:
A cooperative network of reconfigurable stair-climbing robots. - Emrah Acar, Peter Feldmann:
Simulation of SOI transistor circuits through non-equilibrium initial condition analysis (NEICA). - Makoto Sugihara, Taiga Takata, Kenta Nakamura, Ryoichi Inanami, Hiroaki Hayashi, Katsumi Kishimoto, Tetsuya Hasebe, Yukihiro Kawano, Yusuke Matsunaga, Kazuaki J. Murakami, Katsuya Okumura:
A character size optimization technique for throughput enhancement of character projection lithography. - Jeroen Van Ham, Wim Claes, Michel De Cooman, Robert Puers, I. Naertcu, Carl Van Lierde, L. Beckers:
Design and integration of a remotely programmable dental monitoring device. - Toshitaka Yamakawa, Takahiro Inoue, Akira Nakajima, Takahiro Yonezawa, Akio Tsuneda:
A circuit design of ID-code and heartbeat signal processing blocks of a smart RFID tag for mice. - Ravi S. Ananth, Edward K. Lee, Taihu Li, Anthony Lam:
Low-power, implantable sensing system for signal detection from the central or peripheral nervous system. - Shihong Deng, Yamu Hu, Mohamad Sawan:
A high data rate QPSK demodulator for inductively powered electronics implants. - T. Desilets, Mohamad Sawan, François Bellemare:
Wireless esophageal catheter dedicated to respiratory diseases diagnostic. - Yiyin Wang, René van Leuken, Alle-Jan van der Veen
:
Design of a practical scheme for ultra wideband communication. - Majid Baghaei Nejad, Li-Rong Zheng:
An innovative receiver architecture for autonomous detection of ultra-wideband signals. - Kai-Yuan Jheng, Yi-Chiuan Wang, An-Yeu Wu, Hen-Wai Tsao:
DSP engine design for LINC wireless transmitter systems.