default search action
Yiran Chen 0001
Person information
- affiliation: Duke University, Department of Electrical and Computer Engineering, Durham, NC, USA
- affiliation (since 2010): University of Pittsburgh, Department of Electrical and Computer Engineering, Pittsburgh, PA, USA
- affiliation (2007 - 2010): Seagate Technologies, Inc., Bloomington, MN, USA
- affiliation (2005 - 2007): Synopsys Inc., Mountain View, CA, USA
- affiliation (PhD 2005): Purdue University, West Lafayette, IN, USA
Other persons with the same name
- Yiran Chen 0002 — Xidian University, School of Electronic Engineering, Xi'an, China
- Yiran Chen 0003 — Peking University, Institute of Remote Sensing and Geographical Information Systems, Beijing, China
- Yiran Chen 0004 — University of California, Department of Radiology and Biomedical Imaging, San Francisco, CA, USA
- Yiran Chen 0005 — Beihang University, School of Reliability and Systems Engineering, Beijing, China
- Yiran Chen 0006 — Beijing Normal University, College of Information Science and Technology, Beijing, China
- Yiran Chen 0007 — Shandong University, School of Mechanical, Electrical and Information Engineering, Weihai, China
- Yiran Chen 0008 — McGill University, McGill Vision Research, Montreal, Canada
- Yiran Chen 0009 — Tsinghua University, Department of Computer Science and Technology, Tsinghua National Laboratory for Information Science and Technology, Beijing, China
- Yiran Chen 0010 — Chinese Academy of Sciences, Computer Network Information Center, Beijing, China
- Yiran Chen 0011 — Nankai University, College of Software, Tianjin, China
- Yiran Chen 0012 — Alibaba Group, Beijing, China
- Yiran Chen 0013 — ByteDance AI Lab, Shanghai, China (and 1 more)
- Yiran Chen 0014 — Wuhan University, Institute for Advanced Study, Wuhan, China
- Yiran Chen 0015 — Chongqing University, State Key Lab of Mechanic Transmission, China
- Yiran Chen 0016 — Chongqing Institute of Engineering, College of Big Data and Artificial Intelligence, China
- Yiran Chen 0017 — University of Pennsylvania, Department of Linguistics, PA, USA
- Yiran Chen 0018 — Abo Akademi University, Turku, Finland
- Yiran Chen 0019 — Hangzhou Dianzi University, College of Electronics and Information, China
- Yiran Chen 0020 — Hong Kong University of Science and Technology, Guangzhou, China
- Yiran Chen 0021 — Zhejiang University School of Medicine, First Affiliated Hospital, China
- Yiran Chen 0022 — Xi'an Jiaotong University, School of Life Science and Technology, Department of Biomedical Engineering, China
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j144]Yiran Chen, Suman Banerjee, Shaundra Daily, Jeffery Krolik, Hai (Helen) Li, Daniel B. Limbrick, Miroslav Pajic, Rajashi Runton, Lin Zhong:
Athena - The NSF AI Institute for Edge Computing. AI Mag. 45(1): 15-21 (2024) - [j143]Bo Lyu, Yin Yang, Yuting Cao, Tuo Shi, Yiran Chen, Tingwen Huang, Shiping Wen:
A memristive all-inclusive hypernetwork for parallel analog deployment of full search space architectures. Neural Networks 175: 106312 (2024) - [j142]Shiyu Li, Yitu Wang, Edward Hanson, Andrew Chang, Yang-Seok Ki, Hai Li, Yiran Chen:
NDRec: A Near-Data Processing System for Training Large-Scale Recommendation Models. IEEE Trans. Computers 73(5): 1248-1261 (2024) - [j141]Chen-Chia Chang, Jingyu Pan, Zhiyao Xie, Tunhou Zhang, Jiang Hu, Yiran Chen:
Toward Fully Automated Machine Learning for Routability Estimator Development. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 43(3): 970-982 (2024) - [j140]Jingyu Pan, Xuezhong Lin, Jinming Xu, Yiran Chen, Cheng Zhuo:
Lithography Hotspot Detection Based on Heterogeneous Federated Learning With Local Adaptation and Feature Selection. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 43(5): 1484-1496 (2024) - [j139]He Xiao, Xiaofang Hu, Tongtong Gao, Yue Zhou, Shukai Duan, Yiran Chen:
Efficient Low-Bit Neural Network With Memristor-Based Reconfigurable Circuits. IEEE Trans. Circuits Syst. II Express Briefs 71(1): 66-70 (2024) - [j138]Xiaoxuan Yang, Zhangyang Wang, X. Sharon Hu, Chris H. Kim, Shimeng Yu, Miroslav Pajic, Rajit Manohar, Yiran Chen, Hai Helen Li:
Neuro-Symbolic Computing: Advancements and Challenges in Hardware-Software Co-Design. IEEE Trans. Circuits Syst. II Express Briefs 71(3): 1683-1689 (2024) - [j137]Bo Lyu, Shiping Wen, Yin Yang, Xiaojun Chang, Junwei Sun, Yiran Chen, Tingwen Huang:
Designing Efficient Bit-Level Sparsity-Tolerant Memristive Networks. IEEE Trans. Neural Networks Learn. Syst. 35(9): 11979-11988 (2024) - [c348]Yiran Chen:
Advancing Federated Learning by Addressing Data and System Heterogeneity. AAAI Spring Symposia 2024: 294 - [c347]Qijia Huang, Huanrui Yang, Eric Zeng, Yiran Chen:
A Deep-Learning-Based Multi-modal ECG and PCG Processing Framework for Label Efficient Heart Sound Segmentation. CHASE 2024: 109-119 - [c346]Anna Wang, Qijia Huang, Yiran Chen:
A Quantized Parsimonious CNN Model for Sleep Polysomnogram Data Streams. CHASE 2024: 162-163 - [c345]Tunhou Zhang, Shiyu Li, Hsin-Pai Cheng, Feng Yan, Hai Li, Yiran Chen:
CSCO: Connectivity Search of Convolutional Operators. CVPR Workshops 2024: 1685-1694 - [c344]Jianyang Gu, Saeed Vahidian, Vyacheslav Kungurtsev, Haonan Wang, Wei Jiang, Yang You, Yiran Chen:
Efficient Dataset Distillation via Minimax Diffusion. CVPR 2024: 15793-15803 - [c343]Yuqi Jia, Saeed Vahidian, Jingwei Sun, Jianyi Zhang, Vyacheslav Kungurtsev, Neil Zhenqiang Gong, Yiran Chen:
Unlocking the Potential of Federated Learning: The Symphony of Dataset Distillation via Deep Generative Latents. ECCV (78) 2024: 18-33 - [c342]Bokyung Kim, Hai Li, Yiran Chen:
Processing-in-Memory Designs Based on Emerging Technology for Efficient Machine Learning Acceleration. ACM Great Lakes Symposium on VLSI 2024: 614-619 - [c341]Jianyi Zhang, Saeed Vahidian, Martin Kuo, Chunyuan Li, Ruiyi Zhang, Tong Yu, Guoyin Wang, Yiran Chen:
Towards Building The Federatedgpt: Federated Instruction Tuning. ICASSP 2024: 6915-6919 - [c340]Yueqian Lin, Jingyang Zhang, Yiran Chen, Hai Li:
SD-NAE: Generating Natural Adversarial Examples with Stable Diffusion. Tiny Papers @ ICLR 2024 - [c339]Jingwei Sun, Ziyue Xu, Hongxu Yin, Dong Yang, Daguang Xu, Yudong Liu, Zhixu Du, Yiran Chen, Holger R. Roth:
FedBPT: Efficient Federated Black-box Prompt Tuning for Large Language Models. ICML 2024 - [c338]Chen-Chia Chang, Yikang Shen, Shaoze Fan, Jing Li, Shun Zhang, Ningyuan Cao, Yiran Chen, Xin Zhang:
LaMAGIC: Language-Model-based Topology Generation for Analog Integrated Circuits. ICML 2024 - [c337]Yitu Wang, Shiyu Li, Qilin Zheng, Linghao Song, Zongwang Li, Andrew Chang, Hai Li, Yiran Chen:
NDSEARCH: Accelerating Graph-Traversal-Based Approximate Nearest Neighbor Search through Near Data Processing. ISCA 2024: 368-381 - [c336]Qilin Zheng, Shiyu Li, Yitu Wang, Ziru Li, Yiran Chen, Hai (Helen) Li:
Hybrid Digital/Analog Memristor-based Computing Architecture for Sparse Deep Learning Acceleration. ISCAS 2024: 1-5 - [c335]Minxue Tang, Jingwei Sun, Hai Helen Li, Yiran Chen:
Embracing Privacy, Robustness, and Efficiency with Trustworthy Federated Learning on Edge Devices. ISVLSI 2024: 284-289 - [c334]Hao (Frank) Yang, Yang Zhao, Jiarui Cai, Meixin Zhu, Jenq-Neng Hwang, Yiran Chen:
Mitigating Bias of Deep Neural Networks for Trustworthy Traffic Perception in Autonomous Systems. IV 2024: 633-638 - [c333]Junyue Jiang, Hongliang Lu, Chenxi Liu, Meixin Zhu, Yiran Chen, Hao (Frank) Yang:
Cost-effective Vehicle Recognition System in Challenging Environment Empowered by Micro-Pulse LiDAR and Edge AI. IV 2024: 645-650 - [c332]Cristhian Roman-Vicharra, Yiran Chen, Jiang Hu:
Flip-Flop Centric Incremental Placement for Simultaneous Timing and Clock Network Power Optimization. MLCAD 2024: 11:1-11:7 - [c331]Zhixu Du, Shiyu Li, Yuhao Wu, Xiangyu Jiang, Jingwei Sun, Qilin Zheng, Yongkai Wu, Ang Li, Hai Li, Yiran Chen:
SiDA: Sparsity-Inspired Data-Aware Serving for Efficient and Scalable Large Mixture-of-Experts Models. MLSys 2024 - [c330]Minxue Tang, Anna Dai, Louis DiValentin, Aolin Ding, Amin Hass, Neil Zhenqiang Gong, Yiran Chen, Hai (Helen) Li:
ModelGuard: Information-Theoretic Defense Against Model Extraction Attacks. USENIX Security Symposium 2024 - [c329]Matthew Inkawhich, Nathan Inkawhich, Hai Li, Yiran Chen:
Tunable Hybrid Proposal Networks for the Open World. WACV 2024: 1977-1988 - [c328]Binghui Wang, Minhua Lin, Tianxiang Zhou, Pan Zhou, Ang Li, Meng Pang, Hai Helen Li, Yiran Chen:
Efficient, Direct, and Restricted Black-Box Graph Evasion Attacks to Any-Layer Graph Neural Networks via Influence Function. WSDM 2024: 693-701 - [i130]Junyao Zhang, Hanrui Wang, Qi Ding, Jiaqi Gu, Reouven Assouly, William D. Oliver, Song Han, Kenneth R. Brown, Hai Helen Li, Yiran Chen:
Qplacer: Frequency-Aware Component Placement for Superconducting Quantum Computers. CoRR abs/2401.17450 (2024) - [i129]Saeed Vahidian, Mingyu Wang, Jianyang Gu, Vyacheslav Kungurtsev, Wei Jiang, Yiran Chen:
Group Distributionally Robust Dataset Distillation with Risk Minimization. CoRR abs/2402.04676 (2024) - [i128]Tunhou Zhang, Feng Yan, Hai Helen Li, Yiran Chen:
Peeking Behind the Curtains of Residual Learning. CoRR abs/2402.08645 (2024) - [i127]Jonathan Ku, Junyao Zhang, Haoxuan Shan, Saichand Samudrala, Jiawen Wu, Qilin Zheng, Ziru Li, J. V. Rajendran, Yiran Chen:
ModSRAM: Algorithm-Hardware Co-Design for Large Number Modular Multiplication in SRAM. CoRR abs/2402.14152 (2024) - [i126]Hongru Du, Jianan Zhao, Yang Zhao, Shaochong Xu, Xihong Lin, Yiran Chen, Lauren M. Gardner, Hao (Frank) Yang:
Advancing Real-time Pandemic Forecasting Using Large Language Models: A COVID-19 Case Study. CoRR abs/2404.06962 (2024) - [i125]Matthew Inkawhich, Nathan Inkawhich, Hao Yang, Jingyang Zhang, Randolph Linderman, Yiran Chen:
OSR-ViT: A Simple and Modular Framework for Open-Set Object Detection and Discovery. CoRR abs/2404.10865 (2024) - [i124]Tunhou Zhang, Shiyu Li, Hsin-Pai Cheng, Feng Yan, Hai Li, Yiran Chen:
CSCO: Connectivity Search of Convolutional Operators. CoRR abs/2404.17152 (2024) - [i123]Jingwei Sun, Zhixu Du, Yiran Chen:
Knowledge Graph Tuning: Real-time Large Language Model Personalization based on Human Feedback. CoRR abs/2405.19686 (2024) - [i122]Hao Fu, Tunhou Zhang, Hai Li, Yiran Chen:
Can Dense Connectivity Benefit Outlier Detection? An Odyssey with NAS. CoRR abs/2406.01975 (2024) - [i121]Jianyi Zhang, Yufan Zhou, Jiuxiang Gu, Curtis Wigington, Tong Yu, Yiran Chen, Tong Sun, Ruiyi Zhang:
ARTIST: Improving the Generation of Text-rich Images by Disentanglement. CoRR abs/2406.12044 (2024) - [i120]Merim Dzaferagic, Marco Ruffini, Nina Slamnik-Krijestorac, Joao F. Santos, Johann Márquez-Barja, Christos Tranoris, Spyros G. Denazis, Thomas Kyriakakis, Panagiotis Karafotis, Luiz A. DaSilva, Shashi Raj Pandey, Junya Shiraishi, Petar Popovski, Søren Kejser Jensen, Christian Thomsen, Torben Bach Pedersen, Holger Claussen, Jinfeng Du, Gil Zussman, Tingjun Chen, Yiran Chen, Seshu Tirupathi, Ivan Seskar, Daniel C. Kilper:
Decentralized Multi-Party Multi-Network AI for Global Deployment of 6G Wireless Systems. CoRR abs/2407.01544 (2024) - [i119]Tergel Molom-Ochir, Brady Taylor, Hai Li, Yiran Chen:
MonoSparse-CAM: Harnessing Monotonicity and Sparsity for Enhanced Tree Model Processing on CAMs. CoRR abs/2407.11071 (2024) - [i118]Chen-Chia Chang, Yikang Shan, Shaoze Fan, Jing Li, Shun Zhang, Ningyuan Cao, Yiran Chen, Xin Zhang:
LaMAGIC: Language-Model-based Topology Generation for Analog Integrated Circuits. CoRR abs/2407.18269 (2024) - [i117]Hanqiu Chen, Yitu Wang, Luis Vitório Cargnini, Mohammadreza Soltaniyeh, Dongyang Li, Gongjin Sun, Pradeep Subedi, Andrew Chang, Yiran Chen, Cong Hao:
ICGMM: CXL-enabled Memory Expansion with Intelligent Caching Using Gaussian Mixture Model. CoRR abs/2408.05614 (2024) - [i116]Bhavna Gopal, Huanrui Yang, Jingyang Zhang, Mark Horton, Yiran Chen:
Criticality Leveraged Adversarial Training (CLAT) for Boosted Performance via Parameter Efficiency. CoRR abs/2408.10204 (2024) - [i115]Guanglei Zhou, Bhargav Korrapati, Gaurav Rajavendra Reddy, Jiang Hu, Yiran Chen, Dipto G. Thakurta:
PatternPaint: Generating Layout Patterns Using Generative AI and Inpainting Techniques. CoRR abs/2409.01348 (2024) - [i114]Vyacheslav Kungurtsev, Yuanfang Peng, Jianyang Gu, Saeed Vahidian, Anthony Quinn, Fadwa Idlahcen, Yiran Chen:
Dataset Distillation from First Principles: Integrating Core Information Extraction and Purposeful Learning. CoRR abs/2409.01410 (2024) - [i113]Jianyi Zhang, Hao (Fran)k Yang, Ang Li, Xin Guo, Pu Wang, Haiming Wang, Yiran Chen, Hai Li:
MLLM-FL: Multimodal Large Language Model Assisted Federated Learning on Heterogeneous and Long-tailed Data. CoRR abs/2409.06067 (2024) - [i112]Minxue Tang, Yitu Wang, Jingyang Zhang, Louis DiValentin, Aolin Ding, Amin Hass, Yiran Chen, Hai (Helen) Li:
FedProphet: Memory-Efficient Federated Adversarial Training via Theoretic-Robustness and Low-Inconsistency Cascade Learning. CoRR abs/2409.08372 (2024) - [i111]Yuhang Yao, Jianyi Zhang, Junda Wu, Chengkai Huang, Yu Xia, Tong Yu, Ruiyi Zhang, Sungchul Kim, Ryan Rossi, Ang Li, Lina Yao, Julian J. McAuley, Yiran Chen, Carlee Joe-Wong:
Federated Large Language Models: Current Progress and Future Directions. CoRR abs/2409.15723 (2024) - 2023
- [j136]Shiyan Hu, Yiran Chen, Qi Zhu, Armando Walter Colombo:
Guest Editorial Machine Learning for Resilient Industrial Cyber-Physical Systems. IEEE Trans Autom. Sci. Eng. 20(1): 3-4 (2023) - [j135]Edward Hanson, Shiyu Li, Xuehai Qian, Hai Helen Li, Yiran Chen:
DyNNamic: Dynamically Reshaping, High Data-Reuse Accelerator for Compact DNNs. IEEE Trans. Computers 72(3): 880-892 (2023) - [j134]Zhiyao Xie, Jingyu Pan, Chen-Chia Chang, Jiang Hu, Yiran Chen:
The Dark Side: Security and Reliability Concerns in Machine Learning for EDA. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 42(4): 1171-1184 (2023) - [j133]Ziru Li, Qilin Zheng, Yiran Chen, Hai Helen Li:
SpikeSen: Low-Latency In-Sensor-Intelligence Design With Neuromorphic Spiking Neurons. IEEE Trans. Circuits Syst. II Express Briefs 70(6): 1876-1880 (2023) - [j132]Yitu Wang, Shiyu Li, Qilin Zheng, Andrew Chang, Hai Li, Yiran Chen:
EMS-i: An Efficient Memory System Design with Specialized Caching Mechanism for Recommendation Inference. ACM Trans. Embed. Comput. Syst. 22(5s): 100:1-100:22 (2023) - [j131]Meng Pang, Binghui Wang, Mang Ye, Yiu-ming Cheung, Yiran Chen, Bihan Wen:
DisP+V: A Unified Framework for Disentangling Prototype and Variation From Single Sample per Person. IEEE Trans. Neural Networks Learn. Syst. 34(2): 867-881 (2023) - [c327]Jianyi Zhang, Aashiq Muhamed, Aditya Anantharaman, Guoyin Wang, Changyou Chen, Kai Zhong, Qingjun Cui, Yi Xu, Belinda Zeng, Trishul Chilimbi, Yiran Chen:
ReAugKD: Retrieval-Augmented Knowledge Distillation For Pre-trained Language Models. ACL (2) 2023: 1128-1136 - [c326]Chen-Chia Chang, Jingyu Pan, Zhiyao Xie, Yaguang Li, Yishuang Lin, Jiang Hu, Yiran Chen:
Fully Automated Machine Learning Model Development for Analog Placement Quality Prediction. ASP-DAC 2023: 58-63 - [c325]Chen-Chia Chang, Jingyu Pan, Zhiyao Xie, Jiang Hu, Yiran Chen:
Rethink before Releasing Your Model: ML Model Extraction Attack in EDA. ASP-DAC 2023: 252-257 - [c324]Xiaoxuan Yang, Shiyu Li, Qilin Zheng, Yiran Chen:
Improving the Robustness and Efficiency of PIM-Based Architecture by SW/HW Co-Design. ASP-DAC 2023: 618-623 - [c323]Edward Hanson, Mark Horton, Hai (Helen) Li, Yiran Chen:
DefT: Boosting Scalability of Deformable Convolution Operations on GPUs. ASPLOS (3) 2023: 134-146 - [c322]Randolph Linderman, Jingyang Zhang, Nathan Inkawhich, Hai Helen Li, Yiran Chen:
Fine-grain Inference on Out-of-Distribution Data with Hierarchical Classification. CoLLAs 2023: 162-183 - [c321]Richard Petri, Grace Li Zhang, Yiran Chen, Ulf Schlichtmann, Bing Li:
PowerPruning: Selecting Weights and Activations for Power-Efficient Neural Network Acceleration. DAC 2023: 1-6 - [c320]Qilin Zheng, Shiyu Li, Yitu Wang, Ziru Li, Yiran Chen, Hai Helen Li:
Accelerating Sparse Attention with a Reconfigurable Non-volatile Processing-In-Memory Architecture. DAC 2023: 1-6 - [c319]Bokyung Kim, Zhixu Du, Jingwei Sun, Yiran Chen:
Invited Paper: Towards the Efficiency, Heterogeneity, and Robustness of Edge AI. ICCAD 2023: 1-7 - [c318]Qijun Zhang, Shiyu Li, Guanglei Zhou, Jingyu Pan, Chen-Chia Chang, Yiran Chen, Zhiyao Xie:
PANDA: Architecture-Level Power Evaluation by Unifying Analytical and Machine Learning Solutions. ICCAD 2023: 1-9 - [c317]Jingwei Sun, Ziyue Xu, Dong Yang, Vishwesh Nath, Wenqi Li, Can Zhao, Daguang Xu, Yiran Chen, Holger R. Roth:
Communication-Efficient Vertical Federated Learning with Limited Overlapping Samples. ICCV 2023: 5180-5189 - [c316]Yuewei Yang, Hai Li, Yiran Chen:
Stable and Causal Inference for Discriminative Self-supervised Deep Visual Representations. ICCV 2023: 16063-16074 - [c315]Jianyi Zhang, Ang Li, Minxue Tang, Jingwei Sun, Xiang Chen, Fan Zhang, Changyou Chen, Yiran Chen, Hai Li:
Fed-CBS: A Heterogeneity-Aware Client Sampling Mechanism for Federated Learning via Class-Imbalance Reduction. ICML 2023: 41354-41381 - [c314]Bhavna Gopal, Arjun Sridhar, Tunhou Zhang, Yiran Chen:
LISSNAS: Locality-based Iterative Search Space Shrinkage for Neural Architecture Search. IJCAI 2023: 773-781 - [c313]Edward Hanson, Shiyu Li, Guanglei Zhou, Feng Cheng, Yitu Wang, Rohan Bose, Hai Li, Yiran Chen:
Si-Kintsugi: Towards Recovering Golden-Like Performance of Defective Many-Core Spatial Architectures for AI. MICRO 2023: 972-985 - [c312]Prianka Sengupta, Aakash Tyagi, Yiran Chen, Jiang Hu:
Early Identification of Timing Critical RTL Components using ML based Path Delay Prediction. MLCAD 2023: 1-6 - [c311]Zhihui Gao, Yiran Chen, Tingjun Chen:
Swirls: Sniffing Wi-Fi Using Radios with Low Sampling Rates. MobiHoc 2023: 260-269 - [c310]Christopher Wolters, Brady Taylor, Edward Hanson, Xiaoxuan Yang, Ulf Schlichtmann, Yiran Chen:
Biologically Plausible Learning on Neuromorphic Hardware Architectures. MWSCAS 2023: 733-737 - [c309]Junyao Zhang, Hanrui Wang, Gokul Subramanian Ravi, Frederic T. Chong, Song Han, Frank Mueller, Yiran Chen:
DISQ: Dynamic Iteration Skipping for Variational Quantum Algorithms. QCE 2023: 1062-1073 - [c308]Linghao Song, Fan Chen, Hai Li, Yiran Chen:
ReFloat: Low-Cost Floating-Point Processing in ReRAM for Accelerating Iterative Linear Solvers. SC 2023: 75:1-75:15 - [c307]Tunhou Zhang, Mingyuan Ma, Feng Yan, Hai Li, Yiran Chen:
: Joint Point Interaction-Dimension Search for 3D Point Cloud. WACV 2023: 1298-1307 - [c306]Likun Zhang, Yahong Chen, Ang Li, Binghui Wang, Yiran Chen, Fenghua Li, Jin Cao, Ben Niu:
Interpreting Disparate Privacy-Utility Tradeoff in Adversarial Learning via Attribute Correlation. WACV 2023: 4690-4698 - [c305]Jingyang Zhang, Nathan Inkawhich, Randolph Linderman, Yiran Chen, Hai Li:
Mixture Outlier Exposure: Towards Out-of-Distribution Detection in Fine-grained Environments. WACV 2023: 5520-5529 - [c304]Tunhou Zhang, Dehua Cheng, Yuchen He, Zhengxing Chen, Xiaoliang Dai, Liang Xiong, Feng Yan, Hai Li, Yiran Chen, Wei Wen:
NASRec: Weight Sharing Neural Architecture Search for Recommender Systems. WWW 2023: 1199-1207 - [i110]Patrick Bowen, Guy Regev, Nir Regev, Bruno Pedroni, Edward Hanson, Yiran Chen:
Analog, In-memory Compute Architectures for Artificial Intelligence. CoRR abs/2302.06417 (2023) - [i109]Richard Petri, Grace Li Zhang, Yiran Chen, Ulf Schlichtmann, Bing Li:
PowerPruning: Selecting Weights and Activations for Power-Efficient Neural Network Acceleration. CoRR abs/2303.13997 (2023) - [i108]Jingyang Zhang, Nathan Inkawhich, Randolph Linderman, Ryan Luley, Yiran Chen, Hai Helen Li:
SIO: Synthetic In-Distribution Data Benefits Out-of-Distribution Detection. CoRR abs/2303.14531 (2023) - [i107]Jingwei Sun, Ziyue Xu, Dong Yang, Vishwesh Nath, Wenqi Li, Can Zhao, Daguang Xu, Yiran Chen, Holger R. Roth:
Communication-Efficient Vertical Federated Learning with Limited Overlapping Samples. CoRR abs/2303.16270 (2023) - [i106]Jingwei Sun, Zhixu Du, Anna Dai, Saleh Baghersalimi, Alireza Amirshahi, David Atienza, Yiran Chen:
Robust and IP-Protecting Vertical Federated Learning against Unexpected Quitting of Parties. CoRR abs/2303.18178 (2023) - [i105]Jianyi Zhang, Saeed Vahidian, Martin Kuo, Chunyuan Li, Ruiyi Zhang, Guoyin Wang, Yiran Chen:
Towards Building the Federated GPT: Federated Instruction Tuning. CoRR abs/2305.05644 (2023) - [i104]Lin Duan, Jingwei Sun, Yiran Chen, Maria Gorlatova:
PrivaScissors: Enhance the Privacy of Collaborative Inference through the Lens of Mutual Information. CoRR abs/2306.07973 (2023) - [i103]Jingyang Zhang, Jingkang Yang, Pengyun Wang, Haoqi Wang, Yueqian Lin, Haoran Zhang, Yiyou Sun, Xuefeng Du, Kaiyang Zhou, Wayne Zhang, Yixuan Li, Ziwei Liu, Yiran Chen, Hai Li:
OpenOOD v1.5: Enhanced Benchmark for Out-of-Distribution Detection. CoRR abs/2306.09301 (2023) - [i102]Bhavna Gopal, Arjun Sridhar, Tunhou Zhang, Yiran Chen:
LISSNAS: Locality-based Iterative Search Space Shrinkage for Neural Architecture Search. CoRR abs/2307.03110 (2023) - [i101]Junyao Zhang, Hanrui Wang, Gokul Subramanian Ravi, Frederic T. Chong, Song Han, Frank Mueller, Yiran Chen:
DISQ: Dynamic Iteration Skipping for Variational Quantum Algorithms. CoRR abs/2308.06634 (2023) - [i100]Yuewei Yang, Hai Li, Yiran Chen:
Stable and Causal Inference for Discriminative Self-supervised Deep Visual Representations. CoRR abs/2308.08321 (2023) - [i99]Jingwei Sun, Ziyue Xu, Hongxu Yin, Dong Yang, Daguang Xu, Yiran Chen, Holger R. Roth:
FedBPT: Efficient Federated Black-box Prompt Tuning for Large Language Models. CoRR abs/2310.01467 (2023) - [i98]Zhixu Du, Shiyu Li, Yuhao Wu, Xiangyu Jiang, Jingwei Sun, Qilin Zheng, Yongkai Wu, Ang Li, Hai (Helen) Li, Yiran Chen:
SiDA: Sparsity-Inspired Data-Aware Serving for Efficient and Scalable Large Mixture-of-Experts Models. CoRR abs/2310.18859 (2023) - [i97]Yufan Cao, Tunhou Zhang, Wei Wen, Feng Yan, Hai Li, Yiran Chen:
Farthest Greedy Path Sampling for Two-shot Recommender Search. CoRR abs/2310.20705 (2023) - [i96]Tunhou Zhang, Wei Wen, Igor Fedorov, Xi Liu, Buyun Zhang, Fangqiu Han, Wen-Yen Chen, Yiping Han, Feng Yan, Hai Li, Yiran Chen:
DistDNAS: Search Efficient Feature Interactions within 2 Hours. CoRR abs/2311.00231 (2023) - [i95]Martin Kuo, Jianyi Zhang, Yiran Chen:
DACBERT: Leveraging Dependency Agreement for Cost-Efficient Bert Pretraining. CoRR abs/2311.04799 (2023) - [i94]Yueqian Lin, Jingyang Zhang, Yiran Chen, Hai Helen Li:
SD-NAE: Generating Natural Adversarial Examples with Stable Diffusion. CoRR abs/2311.12981 (2023) - [i93]Jianyang Gu, Saeed Vahidian, Vyacheslav Kungurtsev, Haonan Wang, Wei Jiang, Yang You, Yiran Chen:
Efficient Dataset Distillation via Minimax Diffusion. CoRR abs/2311.15529 (2023) - [i92]Yuqi Jia, Saeed Vahidian, Jingwei Sun, Jianyi Zhang, Vyacheslav Kungurtsev, Neil Zhenqiang Gong, Yiran Chen:
Unlocking the Potential of Federated Learning: The Symphony of Dataset Distillation via Deep Generative Latents. CoRR abs/2312.01537 (2023) - [i91]Jingyu Pan, Chen-Chia Chang, Zhiyao Xie, Yiran Chen:
EDALearn: A Comprehensive RTL-to-Signoff EDA Benchmark for Democratized and Reproducible ML for EDA Research. CoRR abs/2312.01674 (2023) - [i90]Yitu Wang, Shiyu Li, Qilin Zheng, Linghao Song, Zongwang Li, Andrew Chang, Hai (Helen) Li, Yiran Chen:
In-Storage Acceleration of Graph-Traversal-Based Approximate Nearest Neighbor Search. CoRR abs/2312.03141 (2023) - [i89]Qijun Zhang, Shiyu Li, Guanglei Zhou, Jingyu Pan, Chen-Chia Chang, Yiran Chen, Zhiyao Xie:
PANDA: Architecture-Level Power Evaluation by Unifying Analytical and Machine Learning Solutions. CoRR abs/2312.08994 (2023) - 2022
- [j130]Xiaoxuan Yang, Changming Wu, Mo Li, Yiran Chen:
Tolerating Noise Effects in Processing-in-Memory Systems for Neural Networks: A Hardware-Software Codesign Perspective. Adv. Intell. Syst. 4(8) (2022) - [j129]Yiran Chen, Sherief Reda:
ISLPED 2021: The 25th Anniversary! IEEE Des. Test 39(1): 92-93 (2022) - [j128]Youhui Zhang, Yiran Chen, Yuanyuan Mi:
Editorial: Machine learning for computational neural modeling and data analyses. Frontiers Comput. Neurosci. 16 (2022) - [j127]Nathan Inkawhich, Jingyang Zhang, Eric K. Davis, Ryan Luley, Yiran Chen:
Improving Out-of-Distribution Detection by Learning From the Deployment Environment. IEEE J. Sel. Top. Appl. Earth Obs. Remote. Sens. 15: 2070-2086 (2022) - [j126]Yiran Chen, Qinru Qiu:
Guest Editorial: IEEE TC Special Issue On Software, Hardware and Applications for Neuromorphic Computing. IEEE Trans. Computers 71(11): 2705-2706 (2022) - [j125]Taozhong Li, Naifeng Jing, Zhigang Mao, Yiran Chen:
A Hybrid-Grained Remapping Defense Scheme Against Hard Failures for Row-Column-NVM. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(6): 1842-1854 (2022) - [j124]Chengning Wang, Dan Feng, Wei Tong, Jingning Liu, Bing Wu, Yiran Chen:
Space-Time-Efficient Modeling of Large-Scale 3-D Cross-Point Memory Arrays by Operation Adaption and Network Compaction. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(10): 3479-3491 (2022) - [j123]Zhiyao Xie, Rongjian Liang, Xiaoqing Xu, Jiang Hu, Chen-Chia Chang, Jingyu Pan, Yiran Chen:
Preplacement Net Length and Timing Estimation by Customized Graph Neural Network. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(11): 4667-4680 (2022) - [j122]Fangxin Liu, Wenbo Zhao, Zongwu Wang, Yilong Zhao, Tao Yang, Yiran Chen, Li Jiang:
IVQ: In-Memory Acceleration of DNN Inference Exploiting Varied Quantization. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(12): 5313-5326 (2022) - [j121]Qilin Zheng, Xingchen Li, Yijin Guan, Zongwei Wang, Yimao Cai, Yiran Chen, Guangyu Sun, Ru Huang:
PIMulator-NN: An Event-Driven, Cross-Level Simulation Framework for Processing-In-Memory-Based Neural Network Accelerators. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(12): 5464-5475 (2022) - [j120]Xiaoxuan Yang, Brady Taylor, Ailong Wu, Yiran Chen, Leon O. Chua:
Research Progress on Memristor: From Synapses to Computing Systems. IEEE Trans. Circuits Syst. I Regul. Pap. 69(5): 1845-1857 (2022) - [j119]Brady Taylor, Qilin Zheng, Ziru Li, Shiyu Li, Yiran Chen:
Processing-in-Memory Technology for Machine Learning: From Basic to ASIC. IEEE Trans. Circuits Syst. II Express Briefs 69(6): 2598-2603 (2022) - [j118]Jiachen Mao, Qing Yang, Ang Li, Kent W. Nixon, Hai Li, Yiran Chen:
Toward Efficient and Adaptive Design of Video Detection System with Deep Neural Networks. ACM Trans. Embed. Comput. Syst. 21(3): 33:1-33:21 (2022) - [j117]Vikas Chandra, Yiran Chen, Sungjoo Yoo:
Introduction to the Special Section on Energy-Efficient AI Chips. ACM Trans. Design Autom. Electr. Syst. 27(5): 41:1-41:2 (2022) - [j116]Taozhong Li, Naifeng Jing, Jianfei Jiang, Qin Wang, Zhigang Mao, Yiran Chen:
A Novel Architecture Design for Output Significance Aligned Flow with Adaptive Control in ReRAM-based Neural Network Accelerator. ACM Trans. Design Autom. Electr. Syst. 27(6): 57:1-57:22 (2022) - [c303]Xiaoxuan Yang, Huanrui Yang, Jingchi Zhang, Hai Helen Li, Yiran Chen:
On Building Efficient and Robust Neural Network Designs. IEEECONF 2022: 317-321 - [c302]Xuezhong Lin, Jingyu Pan, Jinming Xu, Yiran Chen, Cheng Zhuo:
Lithography Hotspot Detection via Heterogeneous Federated Learning with Local Adaptation. ASP-DAC 2022: 166-171 - [c301]Hsin-Pai Cheng, Feng Liang, Meng Li, Bowen Cheng, Feng Yan, Hai Li, Vikas Chandra, Yiran Chen:
ScaleNAS: Multi-Path One-Shot NAS for Scale-Aware High-Resolution Representation. AutoML 2022: 15/1-18 - [c300]Jianyi Zhang, Zhixu Du, Jingwei Sun, Ang Li, Minxue Tang, Yuhao Wu, Zhihui Gao, Martin Kuo, Hai Helen Li, Yiran Chen:
Next Generation Federated Learning for Edge Devices: An Overview. CIC 2022: 10-15 - [c299]Jingyang Zhang, Yiran Chen, Hai Helen Li:
Privacy Leakage of Adversarial Training Models in Federated Learning Systems. CVPR Workshops 2022: 107-113 - [c298]Minxue Tang, Xuefei Ning, Yitu Wang, Jingwei Sun, Yu Wang, Hai Helen Li, Yiran Chen:
FedCor: Correlation-Based Active Client Selection Strategy for Heterogeneous Federated Learning. CVPR 2022: 10092-10101 - [c297]Huanrui Yang, Xiaoxuan Yang, Neil Zhenqiang Gong, Yiran Chen:
HERO: hessian-enhanced robust optimization for unifying and improving generalization and quantization performance. DAC 2022: 25-30 - [c296]Jingyu Pan, Chen-Chia Chang, Zhiyao Xie, Ang Li, Minxue Tang, Tunhou Zhang, Jiang Hu, Yiran Chen:
Towards collaborative intelligence: routability estimation based on decentralized private data. DAC 2022: 961-966 - [c295]Ziru Li, Qilin Zheng, Bonan Yan, Ru Huang, Bing Li, Yiran Chen:
ASTERS: adaptable threshold spike-timing neuromorphic design with twin-column ReRAM synapses. DAC 2022: 1099-1104 - [c294]Xiangru Chen, Dong Li, Yiran Chen, Jie Xiong:
Boosting the sensing granularity of acoustic signals by exploiting hardware non-linearity. HotNets 2022: 53-59 - [c293]Zhiyao Xie, Shiyu Li, Mingyuan Ma, Chen-Chia Chang, Jingyu Pan, Yiran Chen, Jiang Hu:
DEEP: Developing Extremely Efficient Runtime On-Chip Power Meters. ICCAD 2022: 76:1-76:9 - [c292]Prianka Sengupta, Aakash Tyagi, Yiran Chen, Jiang Hu:
How Good Is Your Verilog RTL Code?: A Quick Answer from Machine Learning. ICCAD 2022: 89:1-89:9 - [c291]Jingyu Pan, Chen-Chia Chang, Zhiyao Xie, Jiang Hu, Yiran Chen:
Robustify ML-Based Lithography Hotspot Detectors. ICCAD 2022: 134:1-134:7 - [c290]Jianyi Zhang, Yiran Chen, Jianshu Chen:
Join-Chain Network: A Logical Reasoning View of the Multi-head Attention in Transformer. ICDM (Workshops) 2022: 1-11 - [c289]Binghui Wang, Ang Li, Meng Pang, Hai Li, Yiran Chen:
GraphFL: A Federated Learning Framework for Semi-Supervised Node Classification on Graphs. ICDM 2022: 498-507 - [c288]Zhihui Gao, Ang Li, Dong Li, Jialin Liu, Jie Xiong, Yu Wang, Bing Li, Yiran Chen:
MOM: Microphone based 3D Orientation Measurement. IPSN 2022: 132-144 - [c287]Edward Hanson, Shiyu Li, Hai Helen Li, Yiran Chen:
Cascading structured pruning: enabling high data reuse for sparse DNN accelerators. ISCA 2022: 522-535 - [c286]Bing Li, Hao Lv, Ying Wang, Yiran Chen:
Security Threat to the Robustness of RRAM-based Neuromorphic Computing System. iSES 2022: 267-271 - [c285]Zhihui Gao, Minxue Tang, Ang Li, Yiran Chen:
An Audio Frequency Unfolding Framework for Ultra-Low Sampling Rate Sensors. ISQED 2022: 1-6 - [c284]Bonan Yan, Jeng-Long Hsu, Pang-Cheng Yu, Chia-Chi Lee, Yaojun Zhang, Wenshuo Yue, Guoqiang Mei, Yuchao Yang, Yue Yang, Hai Li, Yiran Chen, Ru Huang:
A 1.041-Mb/mm2 27.38-TOPS/W Signed-INT8 Dynamic-Logic-Based ADC-less SRAM Compute-in-Memory Macro in 28nm with Reconfigurable Bitwise Operation for AI and Embedded Applications. ISSCC 2022: 188-190 - [c283]Jian Zhang, Jian Tang, Yiran Chen, Jie Liu, Jieping Ye, Marilyn Wolf, Vijaykrishnan Narayanan, Mani B. Srivastava, Michael I. Jordan, Victor Bahl:
The 5th Artificial Intelligence of Things (AIoT) Workshop. KDD 2022: 4912-4913 - [c282]Changyou Chen, Jianyi Zhang, Yi Xu, Liqun Chen, Jiali Duan, Yiran Chen, Son Tran, Belinda Zeng, Trishul Chilimbi:
Why do We Need Large Batchsizes in Contrastive Learning? A Gradient-Bias Perspective. NeurIPS 2022 - [c281]Jingwei Sun, Ang Li, Lin Duan, Samiul Alam, Xuliang Deng, Xin Guo, Haiming Wang, Maria Gorlatova, Mi Zhang, Hai Li, Yiran Chen:
FedSEA: A Semi-Asynchronous Federated Learning Framework for Extremely Heterogeneous Devices. SenSys 2022: 106-119 - [c280]Matthew Inkawhich, Nathan Inkawhich, Eric K. Davis, Hai Li, Yiran Chen:
The Untapped Potential of Off-the-Shelf Convolutional Neural Networks. WACV 2022: 2907-2916 - [e7]Thomas E. Potok, Catherine D. Schuman, Melika Payvand, Prasanna Date, Shruti R. Kulkarni, Yiran Chen, Robinson E. Pino, Brad Aimone, Mutsumi Kimura, Gregory Cohen, David Whittaker, Gordon Hirsch Wilson:
ICONS 2022: International Conference on Neuromorphic Systems, Knoxville, TN, USA, July 27 - 29, 2022. ACM 2022, ISBN 978-1-4503-9789-6 [contents] - [i88]Jingyang Zhang, Yiran Chen, Hai Helen Li:
Privacy Leakage of Adversarial Training Models in Federated Learning Systems. CoRR abs/2202.10546 (2022) - [i87]Zhiyao Xie, Jingyu Pan, Chen-Chia Chang, Yiran Chen:
The Dark Side: Security Concerns in Machine Learning for EDA. CoRR abs/2203.10597 (2022) - [i86]Jingyu Pan, Chen-Chia Chang, Zhiyao Xie, Ang Li, Minxue Tang, Tunhou Zhang, Jiang Hu, Yiran Chen:
Towards Collaborative Intelligence: Routability Estimation based on Decentralized Private Data. CoRR abs/2203.16009 (2022) - [i85]Tunhou Zhang, Dehua Cheng, Yuchen He, Zhengxing Chen, Xiaoliang Dai, Liang Xiong, Feng Yan, Hai Li, Yiran Chen, Wei Wen:
NASRec: Weight Sharing Neural Architecture Search for Recommender Systems. CoRR abs/2207.07187 (2022) - [i84]Matthew Inkawhich, Nathan Inkawhich, Hai Helen Li, Yiran Chen:
Self-Trained Proposal Networks for the Open World. CoRR abs/2208.11050 (2022) - [i83]Minxue Tang, Jianyi Zhang, Mingyuan Ma, Louis DiValentin, Aolin Ding, Amin Hassanzadeh, Hai Helen Li, Yiran Chen:
FADE: Enabling Large-Scale Federated Adversarial Training on Resource-Constrained Edge Devices. CoRR abs/2209.03839 (2022) - [i82]Randolph Linderman, Jingyang Zhang, Nathan Inkawhich, Hai Helen Li, Yiran Chen:
Fine-grain Inference on Out-of-Distribution Data with Hierarchical Classification. CoRR abs/2209.04493 (2022) - [i81]Jianyi Zhang, Ang Li, Minxue Tang, Jingwei Sun, Xiang Chen, Fan Zhang, Changyou Chen, Yiran Chen, Hai Li:
Fed-CBS: A Heterogeneity-Aware Client Sampling Mechanism for Federated Learning via Class-Imbalance Reduction. CoRR abs/2209.15245 (2022) - [i80]Jianyi Zhang, Yiran Chen, Jianshu Chen:
Join-Chain Network: A Logical Reasoning View of the Multi-head Attention in Transformer. CoRR abs/2210.02729 (2022) - [i79]Zhixu Du, Jingwei Sun, Ang Li, Pin-Yu Chen, Jianyi Zhang, Hai (Helen) Li, Yiran Chen:
Rethinking Normalization Methods in Federated Learning. CoRR abs/2210.03277 (2022) - [i78]Yuewei Yang, Jingwei Sun, Ang Li, Hai Li, Yiran Chen:
More Generalized and Personalized Unsupervised Representation Learning In A Distributed System. CoRR abs/2211.06470 (2022) - [i77]Tunhou Zhang, Mingyuan Ma, Feng Yan, Hai Li, Yiran Chen:
PIDS: Joint Point Interaction-Dimension Search for 3D Point Cloud. CoRR abs/2211.15759 (2022) - [i76]Christopher Wolters, Brady Taylor, Edward Hanson, Xiaoxuan Yang, Ulf Schlichtmann, Yiran Chen:
Biologically Plausible Learning on Neuromorphic Hardware Architectures. CoRR abs/2212.14337 (2022) - 2021
- [j115]Oliver Zhang, Cheng Ding, Tânia Pereira, Ran Xiao, Kais Gadhoumi, Karl Meisel, Randall J. Lee, Yiran Chen, Xiao Hu:
Explainability Metrics of Deep Convolutional Networks for Photoplethysmography Quality Assessment. IEEE Access 9: 29736-29745 (2021) - [j114]Feng Liang, Zhichao Tian, Ming Dong, Shuting Cheng, Li Sun, Hai Li, Yiran Chen, Guohe Zhang:
Efficient neural network using pointwise convolution kernels with linear phase constraint. Neurocomputing 423: 572-579 (2021) - [j113]Xiuli Chai, Haiyang Wu, Zhihua Gan, Daojun Han, Yushu Zhang, Yiran Chen:
An efficient approach for encrypting double color images into a visually meaningful cipher image using 2D compressive sensing. Inf. Sci. 556: 305-340 (2021) - [j112]Yiran Chen, Qinru Qiu, Yingyan Lin:
Introduction of Special Issue on Hardware and Algorithms for Efficient Machine Learning-Part 1. ACM J. Emerg. Technol. Comput. Syst. 17(2): 18:1-18:2 (2021) - [j111]Yiran Chen, Qinru Qiu, Yingyan Lin:
Introduction to the Special Issue on Hardware and Algorithms for Efficient Machine Learning - Part 2. ACM J. Emerg. Technol. Comput. Syst. 17(4): 45:1-45:2 (2021) - [j110]Xiuli Chai, Xiangcheng Zhi, Zhihua Gan, Yushu Zhang, Yiran Chen, Jiangyu Fu:
Combining improved genetic algorithm and matrix semi-tensor product (STP) in color image encryption. Signal Process. 183: 108041 (2021) - [j109]Nathan Inkawhich, Matthew Inkawhich, Eric K. Davis, Uttam K. Majumder, Erin E. Tripp, Chris Capraro, Yiran Chen:
Bridging a Gap in SAR-ATR: Training on Fully Synthetic and Testing on Measured Data. IEEE J. Sel. Top. Appl. Earth Obs. Remote. Sens. 14: 2942-2955 (2021) - [j108]Nathan Inkawhich, Eric K. Davis, Matthew Inkawhich, Uttam K. Majumder, Yiran Chen:
Training SAR-ATR Models for Reliable Operation in Open-World Environments. IEEE J. Sel. Top. Appl. Earth Obs. Remote. Sens. 14: 3954-3966 (2021) - [j107]Chengning Wang, Dan Feng, Wei Tong, Jingning Liu, Bing Wu, Wei Zhao, Yang Zhang, Yiran Chen:
Improving Write Performance on Cross-Point RRAM Arrays by Leveraging Multidimensional Non-Uniformity of Cell Effective Voltage. IEEE Trans. Computers 70(4): 566-580 (2021) - [j106]Chengning Wang, Dan Feng, Wei Tong, Yu Hua, Jingning Liu, Bing Wu, Wei Zhao, Linghao Song, Yang Zhang, Jie Xu, Xueliang Wei, Yiran Chen:
Improving Multilevel Writes on Vertical 3-D Cross-Point Resistive Memory. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 40(4): 762-775 (2021) - [j105]Xiaoxiao Liu, Mengjie Mao, Xiuyuan Bi, Hai Helen Li, Yiran Chen:
Exploring Applications of STT-RAM in GPU Architectures. IEEE Trans. Circuits Syst. I Regul. Pap. 68(1): 238-249 (2021) - [j104]Tingwen Huang, Yiran Chen, Zhigang Zeng, Leon O. Chua:
Editorial Special Issue for 50th Birthday of Memristor Theory and Application of Neuromorphic Computing Based on Memristor - Part I. IEEE Trans. Circuits Syst. I Regul. Pap. 68(11): 4417-4418 (2021) - [j103]Tingwen Huang, Yiran Chen, Zhigang Zeng, Leon O. Chua:
Editorial Special Issue for 50th Birthday of Memristor Theory and Application of Neuromorphic Computing Based on Memristor - Part II. IEEE Trans. Circuits Syst. I Regul. Pap. 68(12): 4835-4836 (2021) - [j102]Jiachen Mao, Huanrui Yang, Ang Li, Hai Li, Yiran Chen:
TPrune: Efficient Transformer Pruning for Mobile Devices. ACM Trans. Cyber Phys. Syst. 5(3): 26:1-26:22 (2021) - [j101]Shiping Wen, Minghui Dong, Yin Yang, Pan Zhou, Tingwen Huang, Yiran Chen:
End-to-End Detection-Segmentation System for Face Labeling. IEEE Trans. Emerg. Top. Comput. Intell. 5(3): 457-467 (2021) - [j100]Meng Pang, Binghui Wang, Yiu-ming Cheung, Yiran Chen, Bihan Wen:
VD-GAN: A Unified Framework for Joint Prototype and Representation Learning From Contaminated Single Sample per Person. IEEE Trans. Inf. Forensics Secur. 16: 2246-2259 (2021) - [j99]Bo Sun, Yuting Cao, Zhenyuan Guo, Zheng Yan, Shiping Wen, Tingwen Huang, Yiran Chen:
Sliding Mode Stabilization of Memristive Neural Networks With Leakage Delays and Control Disturbance. IEEE Trans. Neural Networks Learn. Syst. 32(3): 1254-1263 (2021) - [j98]Shiping Wen, Huaqiang Wei, Yin Yang, Zhenyuan Guo, Zhigang Zeng, Tingwen Huang, Yiran Chen:
Memristive LSTM Network for Sentiment Analysis. IEEE Trans. Syst. Man Cybern. Syst. 51(3): 1794-1804 (2021) - [j97]Shiping Wen, Weiwei Liu, Yin Yang, Pan Zhou, Zhenyuan Guo, Zheng Yan, Yiran Chen, Tingwen Huang:
Multilabel Image Classification via Feature/Label Co-Projection. IEEE Trans. Syst. Man Cybern. Syst. 51(11): 7250-7259 (2021) - [c279]Hsin-Pai Cheng, Tunhou Zhang, Yixing Zhang, Shiyu Li, Feng Liang, Feng Yan, Meng Li, Vikas Chandra, Hai Li, Yiran Chen:
NASGEM: Neural Architecture Search via Graph Embedding Method. AAAI 2021: 7090-7098 - [c278]Zhiyao Xie, Rongjian Liang, Xiaoqing Xu, Jiang Hu, Yixiao Duan, Yiran Chen:
Net2: A Graph Attention Network Method Customized for Pre-Placement Net Length Estimation. ASP-DAC 2021: 671-677 - [c277]Yiran Chen, Ang Li, Huanrui Yang, Tunhou Zhang, Yuewei Yang, Hai Li, Suman Banerjee, Miroslav Pajic:
AI-Powered IoT System at the Edge. CogMI 2021: 242-251 - [c276]Jingwei Sun, Ang Li, Binghui Wang, Huanrui Yang, Hai Li, Yiran Chen:
Soteria: Provable Defense Against Privacy Leakage in Federated Learning From Representation Perspective. CVPR 2021: 9311-9319 - [c275]Fan Chen, Linghao Song, Hai Helen Li, Yiran Chen:
RAISE: A Resistive Accelerator for Subject-Independent EEG Signal Classification. DATE 2021: 340-343 - [c274]Fan Chen, Linghao Song, Hai Li, Yiran Chen:
Marvel: A Vertical Resistive Accelerator for Low-Power Deep Learning Inference in Monolithic 3D. DATE 2021: 1240-1245 - [c273]Zhihui Gao, Ang Li, Yunfan Gao, Yu Wang, Yiran Chen:
Hermes: Decentralized Dynamic Spectrum Access System for Massive Devices Deployment in 5G. EWSN 2021: 13-24 - [c272]Houxiang Fan, Binghui Wang, Pan Zhou, Ang Li, Zichuan Xu, Cai Fu, Hai Li, Yiran Chen:
Reinforcement Learning-based Black-Box Evasion Attacks to Link Prediction in Dynamic Graphs. HPCC/DSS/SmartCity/DependSys 2021: 933-940 - [c271]Chen-Chia Chang, Jingyu Pan, Tunhou Zhang, Zhiyao Xie, Jiang Hu, Weiyi Qi, Chung-Wei Lin, Rongjian Liang, Joydeep Mitra, Elias Fallon, Yiran Chen:
Automatic Routability Predictor Development Using Neural Architecture Search. ICCAD 2021: 1-9 - [c270]Zhihui Gao, Ang Li, Yunfan Gao, Bing Li, Yu Wang, Yiran Chen:
FedSwap: A Federated Learning based 5G Decentralized Dynamic Spectrum Access System. ICCAD 2021: 1-6 - [c269]Yitu Wang, Zhenhua Zhu, Fan Chen, Mingyuan Ma, Guohao Dai, Yu Wang, Hai Li, Yiran Chen:
Rerec: In-ReRAM Acceleration with Access-Aware Mapping for Personalized Recommendation. ICCAD 2021: 1-9 - [c268]Wei Zhao, Dan Feng, Yu Hua, Wei Tong, Jingning Liu, Jie Xu, Chunyan Li, Gaoxiang Xu, Yiran Chen:
MORE2: Morphable Encryption and Encoding for Secure NVM. ICCAD 2021: 1-8 - [c267]Nathan Inkawhich, Kevin J. Liang, Jingyang Zhang, Huanrui Yang, Hai Li, Yiran Chen:
Can Targeted Adversarial Examples Transfer When the Source and Target Models Have No Label Space Overlap? ICCVW 2021: 41-50 - [c266]Huanrui Yang, Lin Duan, Yiran Chen, Hai Li:
BSQ: Exploring Bit-Level Sparsity for Mixed-Precision Neural Network Quantization. ICLR 2021 - [c265]Meng Pang, Binghui Wang, Mang Ye, Yiran Chen, Bihan Wen:
Disentangling Prototype and Variation for Single Sample Face Recognition. ICME 2021: 1-6 - [c264]Eric C. Yeats, Yiran Chen, Hai Li:
Improving Gradient Regularization using Complex-Valued Neural Networks. ICML 2021: 11953-11963 - [c263]Ang Li, Jingwei Sun, Binghui Wang, Lin Duan, Sicheng Li, Yiran Chen, Hai Li:
LotteryFL: Empower Edge Intelligence with Personalized and Communication-Efficient Federated Learning. SEC 2021: 68-79 - [c262]Chaofei Yang, Leah Ding, Yiran Chen, Hai Li:
Defending against GAN-based DeepFake Attacks via Transformation-aware Adversarial Faces. IJCNN 2021: 1-8 - [c261]Ang Li, Jiayi Guo, Huanrui Yang, Flora D. Salim, Yiran Chen:
DeepObfuscator: Obfuscating Intermediate Representations with Privacy-Preserving Adversarial Learning on Smartphones. IoTDI 2021: 28-39 - [c260]Binghui Wang, Jiayi Guo, Ang Li, Yiran Chen, Hai Li:
Privacy-Preserving Representation Learning on Graphs: A Mutual Information Perspective. KDD 2021: 1667-1676 - [c259]Jian Zhang, Jian Tang, Yiran Chen, Jie Liu, Jieping Ye, Marilyn Wolf, Vijaykrishnan Narayanan, Mani Srivastava, Michael I. Jordan, Victor Bahl:
The 4th Artificial Intelligence of Things (AIoT) Workshop. KDD 2021: 4179-4180 - [c258]Zhiyao Xie, Xiaoqing Xu, Matt Walker, Joshua Knebel, Kumaraguru Palaniswamy, Nicolas Hebert, Jiang Hu, Huanrui Yang, Yiran Chen, Shidhartha Das:
APOLLO: An Automated Power Modeling Framework for Runtime Power Introspection in High-Volume Commercial Microprocessors. MICRO 2021: 1-14 - [c257]Shiyu Li, Edward Hanson, Xuehai Qian, Hai (Helen) Li, Yiran Chen:
ESCALATE: Boosting the Efficiency of Sparse CNN Accelerator with Kernel Decomposition. MICRO 2021: 992-1004 - [c256]Ang Li, Jingwei Sun, Pengcheng Li, Yu Pu, Hai Li, Yiran Chen:
Hermes: an efficient federated learning framework for heterogeneous mobile clients. MobiCom 2021: 420-437 - [c255]Jingwei Sun, Ang Li, Louis DiValentin, Amin Hassanzadeh, Yiran Chen, Hai Li:
FL-WBC: Enhancing Robustness against Model Poisoning Attacks in Federated Learning from a Client Perspective. NeurIPS 2021: 12613-12624 - [c254]Ang Li, Jingwei Sun, Xiao Zeng, Mi Zhang, Hai Li, Yiran Chen:
FedMask: Joint Computation and Communication-Efficient Personalized Federated Learning via Heterogeneous Masking. SenSys 2021: 42-55 - [e6]Lu Fang, Yiran Chen, Guangtao Zhai, Z. Jane Wang, Ruiping Wang, Weisheng Dong:
Artificial Intelligence - First CAAI International Conference, CICAI 2021, Hangzhou, China, June 5-6, 2021, Proceedings, Part I. Lecture Notes in Computer Science 13069, Springer 2021, ISBN 978-3-030-93045-5 [contents] - [e5]Lu Fang, Yiran Chen, Guangtao Zhai, Z. Jane Wang, Ruiping Wang, Weisheng Dong:
Artificial Intelligence - First CAAI International Conference, CICAI 2021, Hangzhou, China, June 5-6, 2021, Proceedings, Part II. Lecture Notes in Computer Science 13070, Springer 2021, ISBN 978-3-030-93048-6 [contents] - [e4]Yiran Chen, Victor V. Zhirnov, Avesta Sasan, Ioannis Savidis:
GLSVLSI '21: Great Lakes Symposium on VLSI 2021, Virtual Event, USA, June 22-25, 2021. ACM 2021, ISBN 978-1-4503-8393-6 [contents] - [e3]Thomas E. Potok, Melika Payvand, Catherine D. Schuman, Prasanna Date, Mutsumi Kimura, Cory E. Merkel, Brad Aimone, Sonia M. Buckley, Yiran Chen, Gregory Cohen, Todd Hylton, Robert M. Patton, Robinson E. Pino, Garrett S. Rose:
ICONS 2021: International Conference on Neuromorphic Systems 2021, Knoxville, TN, USA, July 27-29, 2021. ACM 2021, ISBN 978-1-4503-8691-3 [contents] - [i75]Zhihui Gao, Ang Li, Yunfan Gao, Yu Wang, Yiran Chen:
Hermes: Decentralized Dynamic Spectrum Access System for Massive Devices Deployment in 5G. CoRR abs/2101.02963 (2021) - [i74]Ximing Qiao, Yuhua Bai, Siping Hu, Ang Li, Yiran Chen, Hai Li:
On Provable Backdoor Defense in Collaborative Learning. CoRR abs/2101.08177 (2021) - [i73]Huanrui Yang, Lin Duan, Yiran Chen, Hai Li:
BSQ: Exploring Bit-Level Sparsity for Mixed-Precision Neural Network Quantization. CoRR abs/2102.10462 (2021) - [i72]Matthew Inkawhich, Nathan Inkawhich, Eric K. Davis, Hai Li, Yiran Chen:
The Untapped Potential of Off-the-Shelf Convolutional Neural Networks. CoRR abs/2103.09891 (2021) - [i71]Nathan Inkawhich, Kevin J. Liang, Jingyang Zhang, Huanrui Yang, Hai Li, Yiran Chen:
Can Targeted Adversarial Examples Transfer When the Source and Target Models Have No Label Space Overlap? CoRR abs/2103.09916 (2021) - [i70]Minxue Tang, Xuefei Ning, Yitu Wang, Yu Wang, Yiran Chen:
FedGP: Correlation-Based Active Client Selection for Heterogeneous Federated Learning. CoRR abs/2103.13822 (2021) - [i69]Jingyang Zhang, Nathan Inkawhich, Yiran Chen, Hai Li:
Fine-grained Out-of-Distribution Detection with Mixup Outlier Exposure. CoRR abs/2106.03917 (2021) - [i68]Binghui Wang, Jiayi Guo, Ang Li, Yiran Chen, Hai Li:
Privacy-Preserving Representation Learning on Graphs: A Mutual Information Perspective. CoRR abs/2107.01475 (2021) - [i67]Xuezhong Lin, Jingyu Pan, Jinming Xu, Yiran Chen, Cheng Zhuo:
Lithography Hotspot Detection via Heterogeneous Federated Learning with Local Adaptation. CoRR abs/2107.04367 (2021) - [i66]Changming Wu, Xiaoxuan Yang, Heshan Yu, Ruoming Peng, Ichiro Takeuchi, Yiran Chen, Mo Li:
Harnessing Optoelectronic Noises in a Photonic Generative Adversarial Network (GAN). CoRR abs/2109.08622 (2021) - [i65]Jingwei Sun, Ang Li, Louis DiValentin, Amin Hassanzadeh, Yiran Chen, Hai Li:
FL-WBC: Enhancing Robustness against Model Poisoning Attacks in Federated Learning from a Client Perspective. CoRR abs/2110.13864 (2021) - [i64]Huanrui Yang, Xiaoxuan Yang, Neil Zhenqiang Gong, Yiran Chen:
HERO: Hessian-Enhanced Robust Optimization for Unifying and Improving Generalization and Quantization Performance. CoRR abs/2111.11986 (2021) - [i63]Qiming Shao, Zhongrui Wang, Yan Zhou, Shunsuke Fukami, Damien Querlioz, J. Joshua Yang, Yiran Chen, Leon O. Chua:
Spintronic memristors for computing. CoRR abs/2112.02879 (2021) - 2020
- [j96]Yiran Chen, Deliang Fan, Yanzhi Wang, Shigeru Yamashita:
Editorial for the special issue on disruptive computing technologies. CCF Trans. High Perform. Comput. 2(3): 209-210 (2020) - [j95]Ling Chen, Zhilong He, Chuandong Li, Shiping Wen, Yiran Chen:
Revisiting Memristor Properties. Int. J. Bifurc. Chaos 30(12): 2050172:1-2050172:13 (2020) - [j94]Bo Sun, Shiping Wen, Shengbo Wang, Tingwen Huang, Yiran Chen, Peng Li:
Quantized synchronization of memristive neural networks with time-varying delays via super-twisting algorithm. Neurocomputing 380: 133-140 (2020) - [j93]Guohe Zhang, Bing Li, Jianxing Wu, Ran Wang, Yazhu Lan, Li Sun, Shaochong Lei, Hai Li, Yiran Chen:
A low-cost and high-speed hardware implementation of spiking neural network. Neurocomputing 382: 106-115 (2020) - [j92]Qingli Guo, Jing Ye, Yiran Chen, Yu Hu, Yazhu Lan, Guohe Zhang, Xiaowei Li:
INOR - An Intelligent noise reduction method to defend against adversarial audio examples. Neurocomputing 401: 160-172 (2020) - [j91]Shengbo Wang, Yuting Cao, Tingwen Huang, Yiran Chen, Shiping Wen:
Event-triggered distributed control for synchronization of multiple memristive neural networks under cyber-physical attacks. Inf. Sci. 518: 361-375 (2020) - [j90]Xiuli Chai, Xianglong Fu, Zhihua Gan, Yushu Zhang, Yang Lu, Yiran Chen:
An efficient chaos-based image compression and encryption scheme using block compressive sensing and elementary cellular automata. Neural Comput. Appl. 32(9): 4961-4988 (2020) - [j89]Xiuli Chai, Xiaoyu Zheng, Zhihua Gan, Yiran Chen:
Exploiting plaintext-related mechanism for secure color image encryption. Neural Comput. Appl. 32(12): 8065-8088 (2020) - [j88]Xudong Xie, Shiping Wen, Zheng Yan, Tingwen Huang, Yiran Chen:
Designing pulse-coupled neural networks with spike-synchronization-dependent plasticity rule: image segmentation and memristor circuit application. Neural Comput. Appl. 32(17): 13441-13452 (2020) - [j87]Zhihua Gan, Xiuli Chai, Jitong Zhang, Yushu Zhang, Yiran Chen:
An effective image compression-encryption scheme based on compressive sensing (CS) and game of life (GOL). Neural Comput. Appl. 32(17): 14113-14141 (2020) - [j86]Shiqin Wang, Yuting Cao, Tingwen Huang, Yiran Chen, Peng Li, Shiping Wen:
Sliding mode control of neural networks via continuous or periodic sampling event-triggering algorithm. Neural Networks 121: 140-147 (2020) - [j85]Zheng Yan, Jiadong Chen, Rui Hu, Tingwen Huang, Yiran Chen, Shiping Wen:
Training memristor-based multilayer neuromorphic networks with SGD, momentum and adaptive learning rates. Neural Networks 128: 142-149 (2020) - [j84]Xiuli Chai, Haiyang Wu, Zhihua Gan, Yushu Zhang, Yiran Chen:
Hiding cipher-images generated by 2-D compressive sensing with a multi-embedding strategy. Signal Process. 171: 107525 (2020) - [j83]Xiuli Chai, Jianqiang Bi, Zhihua Gan, Xianxing Liu, Yushu Zhang, Yiran Chen:
Color image compression and encryption scheme based on compressive sensing and double random encryption strategy. Signal Process. 176: 107684 (2020) - [j82]Xiaotao Jia, Jianlei Yang, Pengcheng Dai, Runze Liu, Yiran Chen, Weisheng Zhao:
SPINBIS: Spintronics-Based Bayesian Inference System With Stochastic Computing. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(4): 789-802 (2020) - [j81]Chaofei Yang, Beiye Liu, Hai Li, Yiran Chen, Mark Barnell, Qing Wu, Wujie Wen, Jeyavijayan Rajendran:
Thwarting Replication Attack Against Memristor-Based Neuromorphic Computing System. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(10): 2192-2205 (2020) - [j80]Jingchen Zhu, Guangyu Sun, Xian Zhang, Chao Zhang, Weiqi Zhang, Yun Liang, Tao Wang, Yiran Chen, Jia Di:
Fork Path: Batching ORAM Requests to Remove Redundant Memory Accesses. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(10): 2279-2292 (2020) - [j79]Dan Feng, Jie Xu, Yu Hua, Wei Tong, Jingning Liu, Chunyan Li, Yiran Chen:
A Low-Overhead Encoding Scheme to Extend the Lifetime of Nonvolatile Memories. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(10): 2516-2529 (2020) - [j78]Yazhu Lan, Kent W. Nixon, Qingli Guo, Guohe Zhang, Yuanchao Xu, Hai Li, Yiran Chen:
FCDM: A Methodology Based on Sensor Pattern Noise Fingerprinting for Fast Confidence Detection to Adversarial Attacks. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(12): 4791-4804 (2020) - [j77]Neetesh Saxena, Alvaro A. Cárdenas, Raheem Beyah, Rongxing Lu, Kim-Kwang Raymond Choo, Yiran Chen:
Introduction to the Special Issue on User-Centric Security and Safety for CPS. ACM Trans. Cyber Phys. Syst. 4(3): 29:1-29:2 (2020) - [j76]Shiping Wen, Huaqiang Wei, Zheng Yan, Zhenyuan Guo, Yin Yang, Tingwen Huang, Yiran Chen:
Memristor-Based Design of Sparse Compact Convolutional Neural Network. IEEE Trans. Netw. Sci. Eng. 7(3): 1431-1440 (2020) - [j75]Shiqin Wang, Yuting Cao, Shiping Wen, Zhenyuan Guo, Tingwen Huang, Yiran Chen:
Projective Synchroniztion of Neural Networks via Continuous/Periodic Event-Based Sampling Algorithms. IEEE Trans. Netw. Sci. Eng. 7(4): 2746-2754 (2020) - [c253]Tunhou Zhang, Hsin-Pai Cheng, Zhenwen Li, Feng Yan, Chengyu Huang, Hai Helen Li, Yiran Chen:
AutoShrink: A Topology-Aware NAS for Discovering Efficient Neural Architecture. AAAI 2020: 6829-6836 - [c252]Zhiyao Xie, Haoxing Ren, Brucek Khailany, Ye Sheng, Santosh Santosh, Jiang Hu, Yiran Chen:
PowerNet: Transferable Dynamic IR Drop Estimation via Maximum Convolutional Neural Network. ASP-DAC 2020: 13-18 - [c251]Zhiyao Xie, Guan-Qi Fang, Yu-Hung Huang, Haoxing Ren, Yanqing Zhang, Brucek Khailany, Shao-Yun Fang, Jiang Hu, Yiran Chen, Erick Carvajal Barboza:
FIST: A Feature-Importance Sampling and Tree-Based Method for Automatic Design Flow Parameter Tuning. ASP-DAC 2020: 19-25 - [c250]Chaofei Yang, Hai Li, Yiran Chen, Jiang Hu:
Enhancing Generalization of Wafer Defect Detection by Data Discrepancy-aware Preprocessing and Contrast-varied Augmentation. ASP-DAC 2020: 145-150 - [c249]Fan Chen, Linghao Song, Hai Helen Li, Yiran Chen:
PARC: A Processing-in-CAM Architecture for Genomic Long Read Pairwise Alignment using ReRAM. ASP-DAC 2020: 175-180 - [c248]Linghao Song, Fan Chen, Yiran Chen, Hai Helen Li:
Parallelism in Deep Learning Accelerators. ASP-DAC 2020: 645-650 - [c247]Matthew Inkawhich, Yiran Chen, Hai Helen Li:
Snooping Attacks on Deep Reinforcement Learning. AAMAS 2020: 557-565 - [c246]Huanrui Yang, Minxue Tang, Wei Wen, Feng Yan, Daniel Hu, Ang Li, Hai Li, Yiran Chen:
Learning Low-rank Deep Neural Networks via Singular Vector Orthogonality Regularization and Singular Value Sparsification. CVPR Workshops 2020: 2899-2908 - [c245]Pengcheng Dai, Jianlei Yang, Xucheng Ye, Xingzhou Cheng, Junyu Luo, Linghao Song, Yiran Chen, Weisheng Zhao:
SparseTrain: Exploiting Dataflow Sparsity for Efficient Convolutional Neural Networks Training. DAC 2020: 1-6 - [c244]Qilin Zheng, Zongwei Wang, Zishun Feng, Bonan Yan, Yimao Cai, Ru Huang, Yiran Chen, Chia-Lin Yang, Hai Helen Li:
Lattice: An ADC/DAC-less ReRAM-based Processing-In-Memory Architecture for Accelerating Deep Convolution Neural Networks. DAC 2020: 1-6 - [c243]Yitu Wang, Fan Chen, Linghao Song, Chuanjin Richard Shi, Hai Helen Li, Yiran Chen:
ReBoc: Accelerating Block-Circulant Neural Networks in ReRAM. DATE 2020: 1472-1477 - [c242]Xucheng Ye, Pengcheng Dai, Junyu Luo, Xin Guo, Yingjie Qi, Jianlei Yang, Yiran Chen:
Accelerating CNN Training by Pruning Activation Gradients. ECCV (25) 2020: 322-338 - [c241]Wei Wen, Hanxiao Liu, Yiran Chen, Hai Helen Li, Gabriel Bender, Pieter-Jan Kindermans:
Neural Predictor for Neural Architecture Search. ECCV (29) 2020: 660-676 - [c240]Linghao Song, Fan Chen, Youwei Zhuo, Xuehai Qian, Hai Li, Yiran Chen:
AccPar: Tensor Partitioning for Heterogeneous Deep Learning Accelerators. HPCA 2020: 342-355 - [c239]Jingchi Zhang, Jonathan Huang, Michael Deisher, Hai Helen Li, Yiran Chen:
Structural Sparsification for Far-Field Speaker Recognition with Intel® Gna. ICASSP 2020: 3037-3041 - [c238]Zhiyao Xie, Hai Li, Xiaoqing Xu, Jiang Hu, Yiran Chen:
Fast IR Drop Estimation with Machine Learning : Invited Paper. ICCAD 2020: 13:1-13:8 - [c237]Xiaoxuan Yang, Bonan Yan, Hai Li, Yiran Chen:
ReTransformer: ReRAM-based Processing-in-Memory Architecture for Transformer Acceleration. ICCAD 2020: 92:1-92:9 - [c236]Qilin Zheng, Xingchen Li, Zongwei Wang, Guangyu Sun, Yimao Cai, Ru Huang, Yiran Chen, Hai Li:
MobiLattice: A Depth-wise DCNN Accelerator with Hybrid Digital/Analog Nonvolatile Processing-In-Memory Block. ICCAD 2020: 104:1-104:9 - [c235]Bing Li, Ying Wang, Yiran Chen:
HitM: High-Throughput ReRAM-based PIM for Multi-Modal Neural Networks. ICCAD 2020: 105:1-105:7 - [c234]Rongjian Liang, Zhiyao Xie, Jinwook Jung, Vishnavi Chauha, Yiran Chen, Jiang Hu, Hua Xiang, Gi-Joon Nam:
Routing-Free Crosstalk Prediction. ICCAD 2020: 163:1-163:9 - [c233]Nathan Inkawhich, Kevin J. Liang, Lawrence Carin, Yiran Chen:
Transferable Perturbations of Deep Feature Distributions. ICLR 2020 - [c232]Shiyu Li, Edward Hanson, Hai Li, Yiran Chen:
PENNI: Pruned Kernel Sharing for Efficient CNN Inference. ICML 2020: 5863-5873 - [c231]Yuhui Xu, Yuxi Li, Shuai Zhang, Wei Wen, Botao Wang, Yingyong Qi, Yiran Chen, Weiyao Lin, Hongkai Xiong:
TRP: Trained Rank Pruning for Efficient Deep Neural Networks. IJCAI 2020: 977-983 - [c230]Nagadastagiri Challapalle, Sahithi Rampalli, Linghao Song, Nandhini Chandramoorthy, Karthik Swaminathan, John Sampson, Yiran Chen, Vijaykrishnan Narayanan:
GaaS-X: Graph Analytics Accelerator Supporting Sparse Data Representation using Crossbar Architectures. ISCA 2020: 433-445 - [c229]Ang Li, Yixiao Duan, Huanrui Yang, Yiran Chen, Jianlei Yang:
TIPRDC: Task-Independent Privacy-Respecting Data Crowdsourcing Framework for Deep Learning with Anonymized Intermediate Representations. KDD 2020: 824-832 - [c228]Wei Wen, Feng Yan, Yiran Chen, Hai Li:
AutoGrow: Automatic Layer Growing in Deep Convolutional Networks. KDD 2020: 833-841 - [c227]Ang Li, Chunpeng Wu, Yiran Chen, Bin Ni:
MVStylizer: an efficient edge-assisted video photorealistic style transfer system for mobile phones. MobiHoc 2020: 31-40 - [c226]Nathan Inkawhich, Kevin J. Liang, Binghui Wang, Matthew Inkawhich, Lawrence Carin, Yiran Chen:
Perturbing Across the Feature Hierarchy to Improve Standard and Strict Blackbox Attack Transferability. NeurIPS 2020 - [p3]Ang Li, Huanrui Yang, Yiran Chen:
Task-Agnostic Privacy-Preserving Representation Learning via Federated Learning. Federated Learning 2020: 51-65 - [e2]Tinoosh Mohsenin, Weisheng Zhao, Yiran Chen, Onur Mutlu:
GLSVLSI '20: Great Lakes Symposium on VLSI 2020, Virtual Event, China, September 7-9, 2020. ACM 2020, ISBN 978-1-4503-7944-1 [contents] - [e1]David Atienza Alonso, Qinru Qiu, Sherief Reda, Yiran Chen:
ISLPED '20: ACM/IEEE International Symposium on Low Power Electronics and Design, Boston, Massachusetts, August 10-12, 2020. ACM 2020, ISBN 978-1-4503-7053-0 [contents] - [i62]Huanrui Yang, Minxue Tang, Wei Wen, Feng Yan, Daniel Hu, Ang Li, Hai Li, Yiran Chen:
Learning Low-rank Deep Neural Networks via Singular Vector Orthogonality Regularization and Singular Value Sparsification. CoRR abs/2004.09031 (2020) - [i61]Nathan Inkawhich, Kevin J. Liang, Lawrence Carin, Yiran Chen:
Transferable Perturbations of Deep Feature Distributions. CoRR abs/2004.12519 (2020) - [i60]Yuhui Xu, Yuxi Li, Shuai Zhang, Wei Wen, Botao Wang, Yingyong Qi, Yiran Chen, Weiyao Lin, Hongkai Xiong:
TRP: Trained Rank Pruning for Efficient Deep Neural Networks. CoRR abs/2004.14566 (2020) - [i59]Nathan Inkawhich, Kevin J. Liang, Binghui Wang, Matthew Inkawhich, Lawrence Carin, Yiran Chen:
Perturbing Across the Feature Hierarchy to Improve Standard and Strict Blackbox Attack Transferability. CoRR abs/2004.14861 (2020) - [i58]Shiyu Li, Edward Hanson, Hai Li, Yiran Chen:
PENNI: Pruned Kernel Sharing for Efficient CNN Inference. CoRR abs/2005.07133 (2020) - [i57]Ang Li, Yixiao Duan, Huanrui Yang, Yiran Chen, Jianlei Yang:
TIPRDC: Task-Independent Privacy-Respecting Data Crowdsourcing Framework with Anonymized Intermediate Representations. CoRR abs/2005.11480 (2020) - [i56]Ang Li, Chunpeng Wu, Yiran Chen, Bin Ni:
MVStylizer: An Efficient Edge-Assisted Video Photorealistic Style Transfer System for Mobile Phones. CoRR abs/2005.11630 (2020) - [i55]Chaofei Yang, Lei Ding, Yiran Chen, Hai Helen Li:
Defending against GAN-based Deepfake Attacks via Transformation-aware Adversarial Faces. CoRR abs/2006.07421 (2020) - [i54]Hsin-Pai Cheng, Tunhou Zhang, Shiyu Li, Feng Yan, Meng Li, Vikas Chandra, Hai Helen Li, Yiran Chen:
NASGEM: Neural Architecture Search via Graph Embedding Method. CoRR abs/2007.04452 (2020) - [i53]Pengcheng Dai, Jianlei Yang, Xucheng Ye, Xingzhou Cheng, Junyu Luo, Linghao Song, Yiran Chen, Weisheng Zhao:
SparseTrain: Exploiting Dataflow Sparsity for Efficient Convolutional Neural Networks Training. CoRR abs/2007.13595 (2020) - [i52]Ang Li, Jingwei Sun, Binghui Wang, Lin Duan, Sicheng Li, Yiran Chen, Hai Li:
LotteryFL: Personalized and Communication-Efficient Federated Learning with Lottery Ticket Hypothesis on Non-IID Datasets. CoRR abs/2008.03371 (2020) - [i51]Houxiang Fan, Binghui Wang, Pan Zhou, Ang Li, Meng Pang, Zichuan Xu, Cai Fu, Hai Li, Yiran Chen:
Reinforcement Learning-based Black-Box Evasion Attacks to Link Prediction in Dynamic Graphs. CoRR abs/2009.00163 (2020) - [i50]Binghui Wang, Tianxiang Zhou, Minhua Lin, Pan Zhou, Ang Li, Meng Pang, Cai Fu, Hai Li, Yiran Chen:
Evasion Attacks to Graph Neural Networks via Influence Function. CoRR abs/2009.00203 (2020) - [i49]Linghao Song, Fan Chen, Xuehai Qian, Hai Li, Yiran Chen:
Low-Cost Floating-Point Processing in ReRAM for Scientific Computing. CoRR abs/2011.03190 (2020) - [i48]Fuxun Yu, Zirui Xu, Tong Shen, Dimitrios Stamoulis, Longfei Shangguan, Di Wang, Rishi Madhok, Chunshui Zhao, Xin Li, Nikolaos Karianakis, Dimitrios Lymberopoulos, Ang Li, Chenchen Liu, Yiran Chen, Xiang Chen:
Towards Latency-aware DNN Optimization with GPU Runtime Analysis and Tail Effect Elimination. CoRR abs/2011.03897 (2020) - [i47]Zhiyao Xie, Hai Li, Xiaoqing Xu, Jiang Hu, Yiran Chen:
Fast IR Drop Estimation with Machine Learning. CoRR abs/2011.13491 (2020) - [i46]Zhiyao Xie, Guan-Qi Fang, Yu-Hung Huang, Haoxing Ren, Yanqing Zhang, Brucek Khailany, Shao-Yun Fang, Jiang Hu, Yiran Chen, Erick Carvajal Barboza:
FIST: A Feature-Importance Sampling and Tree-Based Method for Automatic Design Flow Parameter Tuning. CoRR abs/2011.13493 (2020) - [i45]Zhiyao Xie, Haoxing Ren, Brucek Khailany, Ye Sheng, Santosh Santosh, Jiang Hu, Yiran Chen:
PowerNet: Transferable Dynamic IR Drop Estimation via Maximum Convolutional Neural Network. CoRR abs/2011.13494 (2020) - [i44]Zhiyao Xie, Rongjian Liang, Xiaoqing Xu, Jiang Hu, Yixiao Duan, Yiran Chen:
Net2: A Graph Attention Network Method Customized for Pre-Placement Net Length Estimation. CoRR abs/2011.13522 (2020) - [i43]Hsin-Pai Cheng, Feng Liang, Meng Li, Bowen Cheng, Feng Yan, Hai Li, Vikas Chandra, Yiran Chen:
ScaleNAS: One-Shot Learning of Scale-Aware Representations for Visual Recognition. CoRR abs/2011.14584 (2020) - [i42]Jingyu Pan, Chen-Chia Chang, Tunhou Zhang, Zhiyao Xie, Jiang Hu, Weiyi Qi, Chung-Wei Lin, Rongjian Liang, Joydeep Mitra, Elias Fallon, Yiran Chen:
Automatic Routability Predictor Development Using Neural Architecture Search. CoRR abs/2012.01737 (2020) - [i41]Binghui Wang, Ang Li, Hai Li, Yiran Chen:
GraphFL: A Federated Learning Framework for Semi-Supervised Node Classification on Graphs. CoRR abs/2012.04187 (2020) - [i40]Jingwei Sun, Ang Li, Binghui Wang, Huanrui Yang, Hai Li, Yiran Chen:
Provable Defense against Privacy Leakage in Federated Learning from Representation Perspective. CoRR abs/2012.06043 (2020)
2010 – 2019
- 2019
- [j74]Yue Zhou, Xiaofang Hu, Lidan Wang, Shukai Duan, Yiran Chen:
Markov Chain Based Efficient Defense Against Adversarial Examples in Computer Vision. IEEE Access 7: 5695-5706 (2019) - [j73]Bonan Yan, Bing Li, Ximing Qiao, Cheng-Xin Xue, Meng-Fan Chang, Yiran Chen, Hai Helen Li:
Resistive Memory-Based In-Memory Computing: From Device and Large-Scale Integration System Perspectives. Adv. Intell. Syst. 1(7): 1900068 (2019) - [j72]Linghao Song, You Wu, Xuehai Qian, Hai Li, Yiran Chen:
ReBNN: in-situ acceleration of binarized neural networks in ReRAM using complementary resistive cell. CCF Trans. High Perform. Comput. 1(3-4): 196-208 (2019) - [j71]Sergei Alyamkin, Matthew Ardi, Alexander C. Berg, Achille Brighton, Bo Chen, Yiran Chen, Hsin-Pai Cheng, Zichen Fan, Chen Feng, Bo Fu, Kent Gauen, Abhinav Goel, Alexander Goncharenko, Xuyang Guo, Soonhoi Ha, Andrew Howard, Xiao Hu, Yuanjun Huang, Donghyun Kang, Jaeyoun Kim, Jong-gook Ko, Alexander Kondratyev, Junhyeok Lee, Seungjae Lee, Suwoong Lee, Zichao Li, Zhiyu Liang, Juzheng Liu, Xin Liu, Yang Lu, Yung-Hsiang Lu, Deeptanshu Malik, Hong Hanh Nguyen, Eunbyung Park, Denis Repin, Liang Shen, Tao Sheng, Fei Sun, David Svitov, George K. Thiruvathukal, Baiwu Zhang, Jingchi Zhang, Xiaopeng Zhang, Shaojie Zhuo:
Low-Power Computer Vision: Status, Challenges, and Opportunities. IEEE J. Emerg. Sel. Topics Circuits Syst. 9(2): 411-421 (2019) - [j70]Chaofei Yang, Ximing Qiao, Yiran Chen:
Neuromorphic Computing Systems: From CMOS To Emerging Nonvolatile Memory. IPSJ Trans. Syst. LSI Des. Methodol. 12: 53-64 (2019) - [j69]Bing Li, Mengjie Mao, Xiaoxiao Liu, Tao Liu, Zihao Liu, Wujie Wen, Yiran Chen, Hai (Helen) Li:
Thread Batching for High-performance Energy-efficient GPU Memory Design. ACM J. Emerg. Technol. Comput. Syst. 15(4): 39:1-39:21 (2019) - [j68]Yiran Chen:
Reshaping Future Computing Systems With Emerging Nonvolatile Memory Technologies. IEEE Micro 39(1): 54-57 (2019) - [j67]Xiu-Li Chai, Zhihua Gan, Ke Yuan, Yiran Chen, Xianxing Liu:
A novel image encryption scheme based on DNA sequence operations and chaotic systems. Neural Comput. Appl. 31(1): 219-237 (2019) - [j66]Zhihua Gan, Xiu-Li Chai, Daojun Han, Yiran Chen:
A chaotic image encryption algorithm based on 3-D bit-plane permutation. Neural Comput. Appl. 31(11): 7111-7130 (2019) - [j65]Xiu-Li Chai, Xianglong Fu, Zhihua Gan, Yang Lu, Yiran Chen:
A color image cryptosystem based on dynamic DNA encryption and chaos. Signal Process. 155: 44-62 (2019) - [j64]Shuo Li, Nong Xiao, Peng Wang, Guangyu Sun, Xiaoyang Wang, Yiran Chen, Hai Helen Li, Jason Cong, Tao Zhang:
RC-NVM: Dual-Addressing Non-Volatile Memory Architecture Supporting Both Row and Column Memory Accesses. IEEE Trans. Computers 68(2): 239-254 (2019) - [j63]Jianlei Yang, Xueyan Wang, Qiang Zhou, Zhaohao Wang, Hai Li, Yiran Chen, Weisheng Zhao:
Exploiting Spin-Orbit Torque Devices As Reconfigurable Logic for Circuit Obfuscation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 38(1): 57-69 (2019) - [c225]Xin Liu, Huanrui Yang, Ziwei Liu, Linghao Song, Yiran Chen, Hai Li:
DPATCH: An Adversarial Patch Attack on Object Detectors. SafeAI@AAAI 2019 - [c224]Huanrui Yang, Jingchi Zhang, Hsin-Pai Cheng, Wenhan Wang, Yiran Chen, Hai Li:
Bamboo: Ball-Shape Data Augmentation Against Adversarial Attacks from All Directions. SafeAI@AAAI 2019 - [c223]Matthew Ardi, Alexander C. Berg, Bo Chen, Yen-Kuang Chen, Yiran Chen, Donghyun Kang, Junhyeok Lee, Seungjae Lee, Yang Lu, Yung-Hsiang Lu, Fei Sun:
Special Session: 2018 Low-Power Image Recognition Challenge and Beyond. AICAS 2019: 154-157 - [c222]Xuyang Guo, Yuanjun Huang, Hsin-Pai Cheng, Bing Li, Wei Wen, Siyuan Ma, Hai Helen Li, Yiran Chen:
Exploration of Automatic Mixed-Precision Search for Deep Neural Networks. AICAS 2019: 276-278 - [c221]Chuhan Min, Jiachen Mao, Hai Li, Yiran Chen:
NeuralHMC: an efficient HMC-based accelerator for deep neural networks. ASP-DAC 2019: 394-399 - [c220]Hsin-Pai Cheng, Juncheng Shen, Huanrui Yang, Qing Wu, Hai Li, Yiran Chen:
AdverQuil: an efficient adversarial detection and alleviation technique for black-box neuromorphic computing systems. ASP-DAC 2019: 518-525 - [c219]Hsin-Pai Cheng, Patrick Yu, Haojing Hu, Syed Zawad, Feng Yan, Shiyu Li, Hai Helen Li, Yiran Chen:
Towards Decentralized Deep Learning with Differential Privacy. CLOUD 2019: 130-145 - [c218]Nathan Inkawhich, Wei Wen, Hai (Helen) Li, Yiran Chen:
Feature Space Perturbations Yield More Transferable Adversarial Examples. CVPR 2019: 7066-7074 - [c217]Jiachen Mao, Qing Yang, Ang Li, Hai Helen Li, Yiran Chen:
MobiEye: An Efficient Cloud-based Video Detection System for Real-time Mobile Applications. DAC 2019: 102 - [c216]Erick Carvajal Barboza, Nishchal Shukla, Yiran Chen, Jiang Hu:
Machine Learning-Based Pre-Routing Timing Prediction with Reduced Pessimism. DAC 2019: 106 - [c215]Jie Xu, Dan Feng, Yu Hua, Wei Tong, Jingning Liu, Chunyan Li, Gaoxiang Xu, Yiran Chen:
Adaptive Granularity Encoding for Energy-efficient Non-Volatile Main Memory. DAC 2019: 114 - [c214]Fan Chen, Linghao Song, Hai Helen Li, Yiran Chen:
ZARA: A Novel Zero-free Dataflow Accelerator for Generative Adversarial Networks in 3D ReRAM. DAC 2019: 133 - [c213]Runze Liu, Jianlei Yang, Yiran Chen, Weisheng Zhao:
eSLAM: An Energy-Efficient Accelerator for Real-Time ORB-SLAM on FPGA Platform. DAC 2019: 193 - [c212]Yu-Hung Huang, Zhiyao Xie, Guan-Qi Fang, Tao-Chun Yu, Haoxing Ren, Shao-Yun Fang, Yiran Chen, Jiang Hu:
Routability-Driven Macro Placement with Embedded CNN-Based Prediction Model. DATE 2019: 180-185 - [c211]Zichen Fan, Ziru Li, Bing Li, Yiran Chen, Hai Helen Li:
RED: A ReRAM-based Deconvolution Accelerator. DATE 2019: 1763-1768 - [c210]Ang Li, Chunpeng Wu, Yiran Chen, Bin Ni:
An efficient edge-assisted mobile system for video photorealistic style transfer: poster abstract. SEC 2019: 332-333 - [c209]Ang Li, Chunpeng Wu, Yiran Chen, Bin Ni:
An efficient mobile-edge collaborative system for video photorealistic style transfer. SEC 2019: 344-345 - [c208]Yazhu Lan, Qingli Guo, Guohe Zhang, Yuanchao Xu, Kent W. Nixon, Hai Helen Li, Yiran Chen:
Fast Confidence Detection: One Hot Way to Detect Adversarial Attacks via Sensor Pattern Noise Fingerprinting. FPGA 2019: 188-189 - [c207]Linghao Song, Jiachen Mao, Youwei Zhuo, Xuehai Qian, Hai Li, Yiran Chen:
HyPar: Towards Hybrid Parallelism for Deep Learning Accelerator Array. HPCA 2019: 56-68 - [c206]Jingchi Zhang, Wei Wen, Michael Deisher, Hsin-Pai Cheng, Hai Helen Li, Yiran Chen:
Learning Efficient Sparse Structures in Speech Recognition. ICASSP 2019: 2717-2721 - [c205]Fan Chen, Wei Wen, Linghao Song, Jingchi Zhang, Hai Helen Li, Yiran Chen:
How to Obtain and Run Light and Efficient Deep Learning Networks. ICCAD 2019: 1-5 - [c204]Hsin-Pai Cheng, Tunhou Zhang, Yukun Yang, Feng Yan, Harris Teague, Yiran Chen, Hai Li:
MSNet: Structural Wired Neural Architecture Search for Internet of Things. ICCV Workshops 2019: 2033-2036 - [c203]Qilin Zheng, Jian Kang, Zongwei Wang, Yimao Cai, Ru Huang, Bing Li, Yiran Chen, Hai Helen Li:
Enhance the Robustness to Time Dependent Variability of ReRAM-Based Neuromorphic Computing Systems with Regularization and 2R Synapse. ISCAS 2019: 1-5 - [c202]Arjun Chaudhuri, Bonan Yan, Yiran Chen, Krishnendu Chakrabarty:
Hardware Fault Tolerance for Binary RRAM Crossbars. ITC 2019: 1-10 - [c201]Yuhui Xu, Yuxi Li, Shuai Zhang, Wei Wen, Botao Wang, Wenrui Dai, Yingyong Qi, Yiran Chen, Weiyao Lin, Hongkai Xiong:
Trained Rank Pruning for Efficient Deep Neural Networks. EMC2@NeurIPS 2019: 14-17 - [c200]Chunpeng Wu, Ang Li, Bing Li, Yiran Chen:
Efficiently Learning a Robust Self-Driving Model with Neuron Coverage Aware Adaptive Filter Reuse. SiPS 2019: 109-114 - [p2]Amr Mahmoud Hassan, Chenchen Liu, Chaofei Yang, Hai (Helen) Li, Yiran Chen:
Designing Neuromorphic Computing Systems with Memristor Devices. Handbook of Memristor Networks 2019: 469-494 - [i39]Linghao Song, Jiachen Mao, Youwei Zhuo, Xuehai Qian, Hai Li, Yiran Chen:
HyPar: Towards Hybrid Parallelism for Deep Learning Accelerator Array. CoRR abs/1901.02067 (2019) - [i38]Xiaotao Jia, Jianlei Yang, Pengcheng Dai, Runze Liu, Yiran Chen, Weisheng Zhao:
SPINBIS: Spintronics based Bayesian Inference System with Stochastic Computing. CoRR abs/1902.06886 (2019) - [i37]Chen Feng, Tao Sheng, Zhiyu Liang, Shaojie Zhuo, Xiaopeng Zhang, Liang Shen, Matthew Ardi, Alexander C. Berg, Yiran Chen, Bo Chen, Kent Gauen, Yung-Hsiang Lu:
Low Power Inference for On-Device Visual Recognition with a Quantization-Friendly Solution. CoRR abs/1903.06791 (2019) - [i36]Sergei Alyamkin, Matthew Ardi, Alexander C. Berg, Achille Brighton, Bo Chen, Yiran Chen, Hsin-Pai Cheng, Zichen Fan, Chen Feng, Bo Fu, Kent Gauen, Abhinav Goel, Alexander Goncharenko, Xuyang Guo, Soonhoi Ha, Andrew Howard, Xiao Hu, Yuanjun Huang, Donghyun Kang, Jaeyoun Kim, Jong-gook Ko, Alexander Kondratyev, Junhyeok Lee, Seungjae Lee, Suwoong Lee, Zichao Li, Zhiyu Liang, Juzheng Liu, Xin Liu, Yang Lu, Yung-Hsiang Lu, Deeptanshu Malik, Hong Hanh Nguyen, Eunbyung Park, Denis Repin, Liang Shen, Tao Sheng, Fei Sun, David Svitov, George K. Thiruvathukal, Baiwu Zhang, Jingchi Zhang, Xiaopeng Zhang, Shaojie Zhuo:
Low-Power Computer Vision: Status, Challenges, Opportunities. CoRR abs/1904.07714 (2019) - [i35]Matthew Inkawhich, Yiran Chen, Hai Helen Li:
Snooping Attacks on Deep Reinforcement Learning. CoRR abs/1905.11832 (2019) - [i34]Runze Liu, Jianlei Yang, Yiran Chen, Weisheng Zhao:
eSLAM: An Energy-Efficient Accelerator for Real-Time ORB-SLAM on FPGA Platform. CoRR abs/1906.05096 (2019) - [i33]Bing Li, Mengjie Mao, Xiaoxiao Liu, Tao Liu, Zihao Liu, Wujie Wen, Yiran Chen, Hai Helen Li:
Thread Batching for High-performance Energy-efficient GPU Memory Design. CoRR abs/1906.05922 (2019) - [i32]Hsin-Pai Cheng, Tunhou Zhang, Yukun Yang, Feng Yan, Shiyu Li, Harris Teague, Hai Helen Li, Yiran Chen:
SwiftNet: Using Graph Propagation as Meta-knowledge to Search Highly Representative Neural Architectures. CoRR abs/1906.08305 (2019) - [i31]Zichen Fan, Ziru Li, Bing Li, Yiran Chen, Hai Helen Li:
RED: A ReRAM-based Deconvolution Accelerator. CoRR abs/1907.02987 (2019) - [i30]Xucheng Ye, Jianlei Yang, Pengcheng Dai, Yiran Chen, Weisheng Zhao:
Accelerating CNN Training by Sparsifying Activation Gradients. CoRR abs/1908.00173 (2019) - [i29]Ang Li, Jiayi Guo, Huanrui Yang, Yiran Chen:
DeepObfuscator: Adversarial Training Framework for Privacy-Preserving Image Classification. CoRR abs/1909.04126 (2019) - [i28]Juncheng Shen, Juzheng Liu, Yiran Chen, Hai Li:
Towards Efficient and Secure Delivery of Data for Deep Learning with Privacy-Preserving. CoRR abs/1909.07632 (2019) - [i27]Chunpeng Wu, Wei Wen, Yiran Chen, Hai Li:
Conditional Transferring Features: Scaling GANs to Thousands of Classes with 30% Less High-quality Data for Training. CoRR abs/1909.11308 (2019) - [i26]Jingchi Zhang, Jonathan Huang, Michael Deisher, Hai Li, Yiran Chen:
Structural sparsification for Far-field Speaker Recognition with GNA. CoRR abs/1910.11488 (2019) - [i25]Tunhou Zhang, Hsin-Pai Cheng, Zhenwen Li, Feng Yan, Chengyu Huang, Hai Helen Li, Yiran Chen:
AutoShrink: A Topology-aware NAS for Discovering Efficient Neural Architecture. CoRR abs/1911.09251 (2019) - [i24]Wei Wen, Hanxiao Liu, Hai Li, Yiran Chen, Gabriel Bender, Pieter-Jan Kindermans:
Neural Predictor for Neural Architecture Search. CoRR abs/1912.00848 (2019) - 2018
- [j62]Yung-Hsiang Lu, Alexander C. Berg, Yiran Chen:
Low-Power Image Recognition Challenge. AI Mag. 39(2): 87-88 (2018) - [j61]Bonan Yan, Yiran Chen, Hai Li:
Challenges of memristor based neuromorphic computing system. Sci. China Inf. Sci. 61(6): 060425:1-060425:3 (2018) - [j60]Naehyuck Chang, Mohammad Abdullah Al Faruque, Zili Shao, Chun Jason Xue, Yiran Chen, Donkyu Baek:
Survey of Low-Power Electric Vehicles: A Design Automation Perspective. IEEE Des. Test 35(6): 44-70 (2018) - [j59]Ling Chen, Chuandong Li, Yiran Chen:
A Forgetting Memristive Spiking Neural Network for Pavlov Experiment. Int. J. Bifurc. Chaos 28(6): 1850080:1-1850080:14 (2018) - [j58]Yiran Chen, Hai (Helen) Li, Chunpeng Wu, Chang Song, Sicheng Li, Chuhan Min, Hsin-Pai Cheng, Wei Wen, Xiaoxiao Liu:
Neuromorphic computing's yesterday, today, and tomorrow - an evolutional view. Integr. 61: 49-61 (2018) - [j57]Ismail Bayram, Yiran Chen:
NV-TCAM: Alternative designs with NVM devices. Integr. 62: 114-122 (2018) - [j56]Danghui Wang, Lang Ma, Meng Zhang, Jianfeng An, Hai Helen Li, Yiran Chen:
Shift-Optimized Energy-Efficient Racetrack-Based Main Memory. J. Circuits Syst. Comput. 27(5): 1850081:1-1850081:16 (2018) - [j55]Xiu-Li Chai, Xiaoyu Zheng, Zhihua Gan, Daojun Han, Yiran Chen:
An image encryption algorithm based on chaotic system and compressive sensing. Signal Process. 148: 124-144 (2018) - [j54]Zihao Liu, Mengjie Mao, Tao Liu, Xue Wang, Wujie Wen, Yiran Chen, Hai Li, Danghui Wang, Yukui Pei, Ning Ge:
TriZone: A Design of MLC STT-RAM Cache for Combined Performance, Energy, and Reliability Optimizations. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(10): 1985-1998 (2018) - [j53]Jie Guo, Chuhan Min, Tao Cai, Yiran Chen:
Improving Write Performance and Extending Endurance of Object-Based NAND Flash Devices. ACM Trans. Embed. Comput. Syst. 17(1): 18:1-18:26 (2018) - [c199]Xiaoxiao Liu, Wei Wen, Xuehai Qian, Hai Li, Yiran Chen:
Neu-NoC: A high-efficient interconnection network for accelerated neuromorphic systems. ASP-DAC 2018: 141-146 - [c198]Fan Chen, Linghao Song, Yiran Chen:
ReGAN: A pipelined ReRAM-based accelerator for generative adversarial networks. ASP-DAC 2018: 178-183 - [c197]Fan Chen, Zheng Li, Wang Kang, Weisheng Zhao, Hai Li, Yiran Chen:
Process variation aware data management for magnetic skyrmions racetrack memory. ASP-DAC 2018: 221-226 - [c196]Enes Eken, Ismail Bayram, Hai Helen Li, Yiran Chen:
Modeling of biaxial magnetic tunneling junction for multi-level cell STT-RAM realization. ASP-DAC 2018: 375-380 - [c195]Bing Li, Wei Wen, Jiachen Mao, Sicheng Li, Yiran Chen, Hai Helen Li:
Running sparse and low-precision neural network: When algorithm meets hardware. ASP-DAC 2018: 534-539 - [c194]Xiaotao Jia, Jianlei Yang, Zhaohao Wang, Yiran Chen, Hai Helen Li, Weisheng Zhao:
Spintronics based stochastic computing for efficient Bayesian inference system. ASP-DAC 2018: 580-585 - [c193]Yiran Chen:
Keynote. ReQuEST@ASPLOS 2018: 1 - [c192]Grigori Fursin, Thierry Moreau, Hillery C. Hunter, Yiran Chen, Charles Qi, Tianqi Chen:
PANEL: Open panel and discussion on tackling complexity, reproducibility and tech transfer challenges in a rapidly evolving AI/ML/systems research. ReQuEST@ASPLOS 2018: 7 - [c191]Bonan Yan, Fan Chen, Yaojun Zhang, Chang Song, Hai Li, Yiran Chen:
Exploring the opportunity of implementing neuromorphic computing systems with spintronic devices. DATE 2018: 109-112 - [c190]Houxiang Ji, Linghao Song, Li Jiang, Hai Helen Li, Yiran Chen:
ReCom: An efficient resistive accelerator for compressed deep neural networks. DATE 2018: 237-240 - [c189]Kent Gauen, Ryan Dailey, Yung-Hsiang Lu, Eunbyung Park, Wei Liu, Alexander C. Berg, Yiran Chen:
Three years of low-power image recognition challenge: Introduction to special session. DATE 2018: 700-703 - [c188]Bing Li, Linghao Song, Fan Chen, Xuehai Qian, Yiran Chen, Hai Helen Li:
ReRAM-based accelerator for deep learning. DATE 2018: 815-820 - [c187]Amr M. Hassan, Aya F. Khalaf, Khaled S. Sayed, Hai Helen Li, Yiran Chen:
Real-Time Cardiac Arrhythmia Classification Using Memristor Neuromorphic Computing System. EMBC 2018: 2567-2570 - [c186]Wenzhi Fu, Jianlei Yang, Pengcheng Dai, Yiran Chen, Weisheng Zhao:
A Scalable Pipelined Dataflow Accelerator for Object Region Proposals on FPGA Platform. FPT 2018: 346-349 - [c185]Peng Wang, Shuo Li, Guangyu Sun, Xiaoyang Wang, Yiran Chen, Hai Li, Jason Cong, Nong Xiao, Tao Zhang:
RC-NVM: Enabling Symmetric Row and Column Memory Accesses for In-memory Databases. HPCA 2018: 518-530 - [c184]Linghao Song, Youwei Zhuo, Xuehai Qian, Hai Helen Li, Yiran Chen:
GraphR: Accelerating Graph Processing Using ReRAM. HPCA 2018: 531-543 - [c183]Zhiyao Xie, Yu-Hung Huang, Guan-Qi Fang, Haoxing Ren, Shao-Yun Fang, Yiran Chen, Nvidia Corporation:
RouteNet: routability prediction for mixed-size designs using convolutional neural network. ICCAD 2018: 80 - [c182]Kent W. Nixon, Jiachen Mao, Juncheng Shen, Huanrui Yang, Hai (Helen) Li, Yiran Chen:
SPN dash: fast detection of adversarial attacks on mobile via sensor pattern noise fingerprinting. ICCAD 2018: 132 - [c181]Wei Wen, Yuxiong He, Samyam Rajbhandari, Minjia Zhang, Wenhan Wang, Fang Liu, Bin Hu, Yiran Chen, Hai Li:
Learning Intrinsic Sparse Structures within Long Short-Term Memory. ICLR (Poster) 2018 - [c180]Hao Jiang, Kevin Yamada, Zizhe Ren, Thomas Kwok, Fu Luo, Qing Yang, Xiaorong Zhang, J. Joshua Yang, Qiangfei Xia, Yiran Chen, Hai Helen Li, Qing Wu, Mark Barnell:
Pulse-Width Modulation based Dot-Product Engine for Neuromorphic Computing System using Memristor Crossbar Array. ISCAS 2018: 1-4 - [c179]Bing Li, Fan Chen, Wang Kang, Weisheng Zhao, Yiran Chen, Hai Li:
Design and Data Management for Magnetic Racetrack Memory. ISCAS 2018: 1-4 - [c178]Chang Song, Hsin-Pai Cheng, Huanrui Yang, Sicheng Li, Chunpeng Wu, Qing Wu, Yiran Chen, Hai Li:
MAT: A Multi-strength Adversarial Training Method to Mitigate Adversarial Attacks. ISVLSI 2018: 476-481 - [c177]Chaosheng Dong, Yiran Chen, Bo Zeng:
Generalized Inverse Optimization through Online Learning. NeurIPS 2018: 86-95 - [c176]Shimeng Yu, Chenchen Liu, Wujie Wen, Yiran Chen:
Special session on reliability and vulnerability of neuromorphic computing systems. VTS 2018: 1 - [i23]Jianlei Yang, Xueyan Wang, Qiang Zhou, Zhaohao Wang, Hai Li, Yiran Chen, Weisheng Zhao:
Exploiting Spin-Orbit Torque Devices as Reconfigurable Logic for Circuit Obfuscation. CoRR abs/1802.02789 (2018) - [i22]Wei Wen, Yandan Wang, Feng Yan, Cong Xu, Yiran Chen, Hai Li:
SmoothOut: Smoothing Out Sharp Minima for Generalization in Large-Batch Deep Learning. CoRR abs/1805.07898 (2018) - [i21]Xin Liu, Huanrui Yang, Linghao Song, Hai Li, Yiran Chen:
DPatch: Attacking Object Detectors with Adversarial Patches. CoRR abs/1806.02299 (2018) - [i20]Chuhan Min, Aosen Wang, Yiran Chen, Wenyao Xu, Xin Chen:
2PFPCE: Two-Phase Filter Pruning Based on Conditional Entropy. CoRR abs/1809.02220 (2018) - [i19]Sergei Alyamkin, Matthew Ardi, Achille Brighton, Alexander C. Berg, Yiran Chen, Hsin-Pai Cheng, Bo Chen, Zichen Fan, Chen Feng, Bo Fu, Kent Gauen, Jongkook Go, Alexander Goncharenko, Xuyang Guo, Hong Hanh Nguyen, Andrew Howard, Yuanjun Huang, Donghyun Kang, Jaeyoun Kim, Alexander Kondratyev, Seungjae Lee, Suwoong Lee, Junhyeok Lee, Zhiyu Liang, Xin Liu, Juzheng Liu, Zichao Li, Yang Lu, Yung-Hsiang Lu, Deeptanshu Malik, Eunbyung Park, Denis Repin, Tao Sheng, Liang Shen, Fei Sun, David Svitov, George K. Thiruvathukal, Baiwu Zhang, Jingchi Zhang, Xiaopeng Zhang, Shaojie Zhuo:
2018 Low-Power Image Recognition Challenge. CoRR abs/1810.01732 (2018) - [i18]Chaosheng Dong, Yiran Chen, Bo Zeng:
Generalized Inverse Optimization through Online Learning. CoRR abs/1810.01920 (2018) - [i17]Hsin-Pai Cheng, Yuanjun Huang, Xuyang Guo, Yifei Huang, Feng Yan, Hai Li, Yiran Chen:
Differentiable Fine-grained Quantization for Deep Neural Network Compression. CoRR abs/1810.10351 (2018) - [i16]Wenzhi Fu, Jianlei Yang, Pengcheng Dai, Yiran Chen, Weisheng Zhao:
A Scalable Pipelined Dataflow Accelerator for Object Region Proposals on FPGA Platform. CoRR abs/1810.12137 (2018) - [i15]Hsin-Pai Cheng, Patrick Yu, Haojing Hu, Feng Yan, Shiyu Li, Hai Li, Yiran Chen:
LEASGD: an Efficient and Privacy-Preserving Decentralized Algorithm for Distributed Learning. CoRR abs/1811.11124 (2018) - [i14]Nathan Inkawhich, Matthew Inkawhich, Yiran Chen, Hai Li:
Adversarial Attacks for Optical Flow-Based Action Recognition Classifiers. CoRR abs/1811.11875 (2018) - [i13]Yuhui Xu, Yuxi Li, Shuai Zhang, Wei Wen, Botao Wang, Yingyong Qi, Yiran Chen, Weiyao Lin, Hongkai Xiong:
Trained Rank Pruning for Efficient Deep Neural Networks. CoRR abs/1812.02402 (2018) - [i12]Jingyang Zhang, Hsin-Pai Cheng, Chunpeng Wu, Hai Li, Yiran Chen:
Towards Leveraging the Information of Gradients in Optimization-based Adversarial Attack. CoRR abs/1812.02524 (2018) - 2017
- [j52]Hai (Helen) Li, Yiran Chen, Chenchen Liu, John Paul Strachan, Noraica Davila:
Looking Ahead for Resistive Memory Technology: A broad perspective on ReRAM technology for future storage and computing. IEEE Consumer Electron. Mag. 6(1): 94-103 (2017) - [j51]Yiran Chen, Tei-Wei Kuo, Barbara De Salvo:
Guest Editors' Introduction: Critical and Enabling Techniques for Emerging Memories. IEEE Des. Test 34(3): 6-7 (2017) - [j50]Yiran Chen, Hai Helen Li, Ismail Bayram, Enes Eken:
Recent Technology Advances of Emerging Memories. IEEE Des. Test 34(3): 8-22 (2017) - [j49]Peijian Zhang, Chuandong Li, Tingwen Huang, Ling Chen, Yiran Chen:
Forgetting memristor based neuromorphic system for pattern training and recognition. Neurocomputing 222: 47-53 (2017) - [j48]Enes Eken, Ismail Bayram, Yaojun Zhang, Bonan Yan, Wenqing Wu, Hai (Helen) Li, Yiran Chen:
Giant Spin-Hall assisted STT-RAM and logic design. Integr. 58: 253-261 (2017) - [j47]Xiu-Li Chai, Zhihua Gan, Yiran Chen, Yushu Zhang:
A visually secure image encryption scheme based on compressive sensing. Signal Process. 134: 35-51 (2017) - [j46]Xiu-Li Chai, Zhihua Gan, Kang Yang, Yiran Chen, Xianxing Liu:
An image encryption algorithm based on the memristive hyperchaotic system, cellular automata and DNA sequence operations. Signal Process. Image Commun. 52: 6-19 (2017) - [j45]Xunchao Chen, Navid Khoshavi, Ronald F. DeMara, Jun Wang, Dan Huang, Wujie Wen, Yiran Chen:
Energy-Aware Adaptive Restore Schemes for MLC STT-RAM Cache. IEEE Trans. Computers 66(5): 786-798 (2017) - [j44]Mengjie Mao, Wujie Wen, Yaojun Zhang, Yiran Chen, Hai Li:
An Energy-Efficient GPGPU Register File Architecture Using Racetrack Memory. IEEE Trans. Computers 66(9): 1478-1490 (2017) - [j43]Jie Guo, Wujie Wen, Jingtong Hu, Danghui Wang, Hai Helen Li, Yiran Chen:
FlexLevel NAND Flash Storage System Design to Reduce LDPC Latency. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 36(7): 1167-1180 (2017) - [j42]Yaojun Zhang, Bonan Yan, Xiaobin Wang, Yiran Chen:
Persistent and Nonpersistent Error Optimization for STT-RAM Cell Design. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 36(7): 1181-1192 (2017) - [j41]Miao Hu, Yiran Chen, J. Joshua Yang, Yu Wang, Hai Helen Li:
A Compact Memristor-Based Dynamic Synapse for Spiking Neural Networks. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 36(8): 1353-1366 (2017) - [j40]Chen Pan, Mimi Xie, Chengmo Yang, Yiran Chen, Jingtong Hu:
Exploiting Multiple Write Modes of Nonvolatile Main Memory in Embedded Systems. ACM Trans. Embed. Comput. Syst. 16(4): 110:1-110:26 (2017) - [j39]Jie Guo, Danghui Wang, Zili Shao, Yiran Chen:
Data-Pattern-Aware Error Prevention Technique to Improve System Reliability. IEEE Trans. Very Large Scale Integr. Syst. 25(4): 1433-1443 (2017) - [c175]Shunti Yin, Deepak Kadetotad, Bonan Yan, Chang Song, Yiran Chen, Chaitali Chakrabarti, Jae-sun Seo:
Low-power neuromorphic speech recognition engine with coarse-grain sparsity. ASP-DAC 2017: 111-114 - [c174]Chuhan Min, Jie Guo, Hai Li, Yiran Chen:
Extending the lifetime of object-based NAND flash device with STT-RAM/DRAM hybrid buffer. ASP-DAC 2017: 764-769 - [c173]Chunpeng Wu, Wei Wen, Tariq Afzal, Yongmei Zhang, Yiran Chen, Hai Li:
A Compact DNN: Approaching GoogLeNet-Level Accuracy of Classification and Domain Adaptation. CVPR 2017: 761-770 - [c172]Lerong Chen, Jiawen Li, Yiran Chen, Qiuping Deng, Jiyuan Shen, Xiaoyao Liang, Li Jiang:
Accelerator-friendly neural-network training: Learning variations and defects in RRAM crossbar. DATE 2017: 19-24 - [c171]Hsin-Pai Cheng, Wei Wen, Chunpeng Wu, Sicheng Li, Hai Helen Li, Yiran Chen:
Understanding the design of IBM neurosynaptic system and its tradeoffs: A user perspective. DATE 2017: 139-144 - [c170]Amr M. Hassan, Chaofei Yang, Chenchen Liu, Hai Helen Li, Yiran Chen:
Hybrid spiking-based multi-layered self-learning neuromorphic system based on memristor crossbar arrays. DATE 2017: 776-781 - [c169]Jiachen Mao, Xiang Chen, Kent W. Nixon, Christopher D. Krieger, Yiran Chen:
MoDNN: Local distributed mobile computing system for Deep Neural Network. DATE 2017: 1396-1401 - [c168]Sicheng Li, Wei Wen, Yu Wang, Song Han, Yiran Chen, Hai Li:
An FPGA Design Framework for CNN Sparsification and Acceleration. FCCM 2017: 28 - [c167]Wen-Hui Chen, Yiran Chen:
An ensemble approach to activity recognition based on binary sensor readings. Healthcom 2017: 1-5 - [c166]Linghao Song, Xuehai Qian, Hai Li, Yiran Chen:
PipeLayer: A Pipelined ReRAM-Based Accelerator for Deep Learning. HPCA 2017: 541-552 - [c165]Jiachen Mao, Zhuwei Qin, Zirui Xu, Kent W. Nixon, Xiang Chen, Hai Li, Yiran Chen:
AdaLearner: An adaptive distributed mobile learning system for neural networks. ICCAD 2017: 291-296 - [c164]Bonan Yan, Jianhua Joshua Yang, Qing Wu, Yiran Chen, Hai Li:
A closed-loop design to enhance weight stability of memristor based neural network chips. ICCAD 2017: 541-548 - [c163]Jiachen Mao, Zhongda Yang, Wei Wen, Chunpeng Wu, Linghao Song, Kent W. Nixon, Xiang Chen, Hai Li, Yiran Chen:
MeDNN: A distributed mobile system with enhanced partition and deployment for large-scale DNNs. ICCAD 2017: 751-756 - [c162]Zhuwei Qin, Zirui Xu, Qide Dong, Yiran Chen, Xiang Chen:
VoCaM: Visualization oriented convolutional neural network acceleration on mobile system: Invited paper. ICCAD 2017: 835-840 - [c161]Wei Wen, Cong Xu, Chunpeng Wu, Yandan Wang, Yiran Chen, Hai Li:
Coordinating Filters for Faster Deep Neural Networks. ICCV 2017: 658-666 - [c160]Jongsoo Park, Sheng R. Li, Wei Wen, Ping Tak Peter Tang, Hai Li, Yiran Chen, Pradeep Dubey:
Faster CNNs with Direct Sparse Convolutions and Guided Pruning. ICLR (Poster) 2017 - [c159]Ling Chen, Zhu Liu, Chuandong Li, Jiagui Wu, Jingmin Chen, Yiran Chen:
Behaviors of multi-dimensional forgetting memristor models. IECON 2017: 7417-7421 - [c158]Amr M. Hassan, Hai Helen Li, Yiran Chen:
Hardware implementation of echo state networks using memristor double crossbar arrays. IJCNN 2017: 2171-2177 - [c157]Chen Pan, Mimi Xie, Yongpan Liu, Yanzhi Wang, Chun Jason Xue, Yuangang Wang, Yiran Chen, Jingtong Hu:
A lightweight progress maximization scheduler for non-volatile processor under unstable energy harvesting. LCTES 2017: 101-110 - [c156]Wei Wen, Cong Xu, Feng Yan, Chunpeng Wu, Yandan Wang, Yiran Chen, Hai Li:
TernGrad: Ternary Gradients to Reduce Communication in Distributed Deep Learning. NIPS 2017: 1509-1519 - [c155]Chang Song, Beiye Liu, Wei Wen, Hai Li, Yiran Chen:
A quantization-aware regularized learning method in multilevel memristor-based neuromorphic computing system. NVMSA 2017: 1-6 - [c154]Lucie Broyde, Kent W. Nixon, Xiang Chen, Hai Li, Yiran Chen:
MobiCore: An adaptive hybrid approach for power-efficient CPU management on Android devices. SoCC 2017: 221-226 - [i11]Chaofei Yang, Qing Wu, Hai Li, Yiran Chen:
Generative Poisoning Attack Method Against Neural Networks. CoRR abs/1703.01340 (2017) - [i10]Chunpeng Wu, Wei Wen, Tariq Afzal, Yongmei Zhang, Yiran Chen, Hai Li:
A Compact DNN: Approaching GoogLeNet-Level Accuracy of Classification and Domain Adaptation. CoRR abs/1703.04071 (2017) - [i9]Wei Wen, Cong Xu, Chunpeng Wu, Yandan Wang, Yiran Chen, Hai Li:
Coordinating Filters for Faster Deep Neural Networks. CoRR abs/1703.09746 (2017) - [i8]Wei Wen, Cong Xu, Feng Yan, Chunpeng Wu, Yandan Wang, Yiran Chen, Hai Li:
TernGrad: Ternary Gradients to Reduce Communication in Distributed Deep Learning. CoRR abs/1705.07878 (2017) - [i7]Chang Song, Hsin-Pai Cheng, Chunpeng Wu, Hai Li, Yiran Chen, Qing Wu:
A Multi-strength Adversarial Training Method to Mitigate Adversarial Attacks. CoRR abs/1705.09764 (2017) - [i6]Linghao Song, Youwei Zhuo, Xuehai Qian, Hai Li, Yiran Chen:
GraphR: Accelerating Graph Processing Using ReRAM. CoRR abs/1708.06248 (2017) - [i5]Wei Wen, Yuxiong He, Samyam Rajbhandari, Wenhan Wang, Fang Liu, Bin Hu, Yiran Chen, Hai Li:
Learning Intrinsic Sparse Structures within Long Short-term Memory. CoRR abs/1709.05027 (2017) - [i4]Xiaotao Jia, Jianlei Yang, Zhaohao Wang, Yiran Chen, Hai Li, Weisheng Zhao:
Spintronics based Stochastic Computing for Efficient Bayesian Inference System. CoRR abs/1711.01125 (2017) - 2016
- [j38]Jianlei Yang, Zhenyu Sun, Xiaobin Wang, Yiran Chen, Hai Li:
Spintronic Memristor as Interface Between DNA and Solid State Devices. IEEE J. Emerg. Sel. Topics Circuits Syst. 6(2): 212-221 (2016) - [j37]Chunpeng Wu, Hsin-Pai Cheng, Sicheng Li, Hai (Helen) Li, Yiran Chen:
ApesNet: a pixel-wise efficient segmentation network for embedded devices. IET Cyper-Phys. Syst.: Theory & Appl. 1(1): 78-85 (2016) - [j36]Ling Chen, Chuandong Li, Tingwen Huang, Xiaofang Hu, Yiran Chen:
The bipolar and unipolar reversible behavior on the forgetting memristor model. Neurocomputing 171: 1637-1643 (2016) - [j35]Guangyu Sun, Chao Zhang, Peng Li, Tao Wang, Yiran Chen:
Statistical Cache Bypassing for Non-Volatile Memory. IEEE Trans. Computers 65(11): 3427-3440 (2016) - [j34]Jianlei Yang, Peiyuan Wang, Yaojun Zhang, Yuanqing Cheng, Weisheng Zhao, Yiran Chen, Hai (Helen) Li:
Radiation-Induced Soft Error Analysis of STT-MRAM: A Device to Circuit Approach. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(3): 380-393 (2016) - [j33]Shouzhen Gu, Edwin Hsing-Mean Sha, Qingfeng Zhuge, Yiran Chen, Jingtong Hu:
A Time, Energy, and Area Efficient Domain Wall Memory-Based SPM for Embedded Systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(12): 2008-2017 (2016) - [j32]Xiaoxiao Liu, Mengjie Mao, Beiye Liu, Boxun Li, Yu Wang, Hao Jiang, Mark Barnell, Qing Wu, Jianhua Joshua Yang, Hai Li, Yiran Chen:
Harmonica: A Framework of Heterogeneous Computing Systems With Memristor-Based Neuromorphic Computing Accelerators. IEEE Trans. Circuits Syst. I Regul. Pap. 63-I(5): 617-628 (2016) - [c153]Chi-Ruo Wu, Wei Wen, Tsung-Yi Ho, Yiran Chen:
Thermal optimization for memristor-based hybrid neuromorphic computing systems. ASP-DAC 2016: 274-279 - [c152]Xian Zhang, Guangyu Sun, Yaojun Zhang, Yiran Chen, Hai Li, Wujie Wen, Jia Di:
A novel PUF based on cell error rate distribution of STT-RAM. ASP-DAC 2016: 342-347 - [c151]Kent W. Nixon, Xiang Chen, Zhi-Hong Mao, Yiran Chen:
SlowMo - enhancing mobile gesture-based authentication schemes via sampling rate optimization. ASP-DAC 2016: 462-467 - [c150]Kent W. Nixon, Xiang Chen, Yiran Chen:
Footfall - GPS polling scheduler for power saving on wearable devices. ASP-DAC 2016: 563-568 - [c149]Jie Guo, Chuhan Min, Tao Cai, Yiran Chen:
A design to reduce write amplification in object-based NAND flash devices. CODES+ISSS 2016: 5:1-5:10 - [c148]Wei Wen, Chunpeng Wu, Yandan Wang, Kent W. Nixon, Qing Wu, Mark Barnell, Hai Li, Yiran Chen:
A new learning method for inference accuracy, core occupation, and performance co-optimization on TrueNorth chip. DAC 2016: 18:1-18:6 - [c147]Mengjie Mao, Wujie Wen, Xiaoxiao Liu, Jingtong Hu, Danghui Wang, Yiran Chen, Hai Li:
TEMP: thread batch enabled memory partitioning for GPU. DAC 2016: 65:1-65:6 - [c146]Enes Eken, Linghao Song, Ismail Bayram, Cong Xu, Wujie Wen, Yuan Xie, Yiran Chen:
NVSim-VXs: an improved NVSim for variation aware STT-RAM simulation. DAC 2016: 70:1-70:6 - [c145]Xiang Chen, Jiachen Mao, Jiafei Gao, Kent W. Nixon, Yiran Chen:
MORPh: mobile OLED-friendly recording and playback system for low power video streaming. DAC 2016: 153:1-153:6 - [c144]Xunchao Chen, Navid Khoshavi, Jian Zhou, Dan Huang, Ronald F. DeMara, Jun Wang, Wujie Wen, Yiran Chen:
AOS: adaptive overwrite scheme for energy-efficient MLC STT-RAM cache. DAC 2016: 170:1-170:6 - [c143]Xue Wang, Mengjie Mao, Enes Eken, Wujie Wen, Hai Li, Yiran Chen:
Sliding Basket: An adaptive ECC scheme for runtime write failure suppression of STT-RAM cache. DATE 2016: 762-767 - [c142]Wujie Wen, Mengjie Mao, Hai Li, Yiran Chen, Yukui Pei, Ning Ge:
A holistic tri-region MLC STT-RAM design with combined performance, energy, and reliability optimizations. DATE 2016: 1285-1290 - [c141]Ahmed H. Dallal, Yiran Chen, Douglas J. Weber, Zhi-Hong Mao:
Dictionary learning for sparse representation and classification of neural spikes. EMBC 2016: 3486-3489 - [c140]Chunpeng Wu, Hsin-Pai Cheng, Sicheng Li, Hai Helen Li, Yiran Chen:
ApesNet: A Pixel-wise Efficient Segmentation Network. ESTIMedia 2016: 2-8 - [c139]Chaofei Yang, Beiye Liu, Yandan Wang, Yiran Chen, Hai Li, Xian Zhang, Guangyu Sun:
The Applications of NVM Technology in Hardware Security. ACM Great Lakes Symposium on VLSI 2016: 311-316 - [c138]Ismail Bayram, Enes Eken, Donald Kline Jr., Nikolas Parshook, Yiran Chen, Alex K. Jones:
Modeling STT-RAM fabrication cost and impacts in NVSim. IGSC 2016: 1-8 - [c137]Kent W. Nixon, Xiang Chen, Yiran Chen:
Scope - quality retaining display rendering workload scaling based on user-smartphone distance. ICCAD 2016: 1 - [c136]Sicheng Li, Yandan Wang, Wujie Wen, Yu Wang, Yiran Chen, Hai Li:
A data locality-aware design framework for reconfigurable sparse matrix-vector multiplication kernel. ICCAD 2016: 14 - [c135]Chaofei Yang, Beiye Liu, Hai Li, Yiran Chen, Wujie Wen, Mark Barnell, Qing Wu, Jeyavijayan Rajendran:
Security of neuromorphic computing: thwarting learning attacks using memristor's obsolescence effect. ICCAD 2016: 97 - [c134]Chaofei Yang, Chunpeng Wu, Hai Li, Yiran Chen, Mark Barnell, Qing Wu:
Security challenges in smart surveillance systems and the solutions based on emerging nano-devices. ICCAD 2016: 109 - [c133]Chang Song, Beiye Liu, Chenchen Liu, Hai Li, Yiran Chen:
Design techniques of eNVM-enabled neuromorphic computing systems. ICCD 2016: 674-677 - [c132]Chenchen Liu, Yiran Chen, Hai Li:
Neural processor design enabled by memristor technology. ICRC 2016: 1-4 - [c131]Sicheng Li, Xiaoxiao Liu, Mengjie Mao, Hai (Helen) Li, Yiran Chen, Boxun Li, Yu Wang:
Heterogeneous systems with reconfigurable neuromorphic computing accelerators. ISCAS 2016: 125-128 - [c130]Somnath Chakraborty, Saumil Joshi, Qiangfei Xia, Hai Li, Yiran Chen, Hao Jiang, Qing Wu, Mark Barnell, J. Joshua Yang:
Built-in selectors self-assembled into memristors. ISCAS 2016: 181-184 - [c129]Hao Jiang, Weijie Zhu, Fu Luo, Kangjun Bai, Chenchen Liu, Xiaorong Zhang, J. Joshua Yang, Qiangfei Xia, Yiran Chen, Qing Wu:
Cyclical sensing integrate-and-fire circuit for memristor array based neuromorphic computing. ISCAS 2016: 930-933 - [c128]Ismail Bayram, Enes Eken, Xue Wang, Xiao Sun, T. P. Ma, Yiran Chen:
Adaptive refreshing and read voltage control scheme for FeDRAM. ISCAS 2016: 1154-1157 - [c127]Beiye Liu, Chaofei Yang, Hai Li, Yiran Chen, Qing Wu, Mark Barnell:
Security of neuromorphic systems: Challenges and solutions. ISCAS 2016: 1326-1329 - [c126]Bonan Yan, Amr Mahmoud Mahmoud, Jianhua Joshua Yang, Qing Wu, Yiran Chen, Hai (Helen) Li:
A neuromorphic ASIC design using one-selector-one-memristor crossbar. ISCAS 2016: 1390-1393 - [c125]Zheng Li, Xiuyuan Bi, Hai (Helen) Li, Yiran Chen, Jianying Qin, Peng Guo, Wenjie Kong, Wenshan Zhan, Xiufeng Han, Hong Zhang, Lingling Wang, Guanping Wu, Hanming Wu:
Design and Implementation of a 4Kb STT-MRAM with Innovative 200nm Nano-ring Shaped MTJ. ISLPED 2016: 4-9 - [c124]Hsin-Pai Cheng, Wei Wen, Chang Song, Beiye Liu, Hai Li, Yiran Chen:
Exploring the optimal learning technique for IBM TrueNorth platform to overcome quantization loss. NANOARCH 2016: 185-190 - [c123]Wei Wen, Chunpeng Wu, Yandan Wang, Yiran Chen, Hai Li:
Learning Structured Sparsity in Deep Neural Networks. NIPS 2016: 2074-2082 - [c122]Jie Guo, Chuhan Min, Tao Cai, Hai Li, Yiran Chen:
Objnandsim: object-based NAND flash device simulator. NVMSA 2016: 1-6 - [c121]Xiang Chen, Jiachen Mao, Kent W. Nixon, Yiran Chen:
MORPh: mobile OLED power friendly camera system. RSP 2016: 1-5 - [c120]Enes Eken, Ismail Bayram, Yaojun Zhang, Bonan Yan, Wenqing Wu, Hai (Helen) Li, Yiran Chen:
Spin-Hall Assisted STT-RAM Design and Discussion. SLIP 2016: 7:1-7:4 - [c119]Xiang Chen, Kent W. Nixon, Yiran Chen:
Practical power consumption analysis with current smartphones. SoCC 2016: 333-337 - [i3]Wei Wen, Chunpeng Wu, Yandan Wang, Kent W. Nixon, Qing Wu, Mark Barnell, Hai Li, Yiran Chen:
A New Learning Method for Inference Accuracy, Core Occupation, and Performance Co-optimization on TrueNorth Chip. CoRR abs/1604.00697 (2016) - [i2]Jongsoo Park, Sheng R. Li, Wei Wen, Hai Li, Yiran Chen, Pradeep Dubey:
Holistic SparseCNN: Forging the Trident of Accuracy, Speed, and Size. CoRR abs/1608.01409 (2016) - [i1]Wei Wen, Chunpeng Wu, Yandan Wang, Yiran Chen, Hai Li:
Learning Structured Sparsity in Deep Neural Networks. CoRR abs/1608.03665 (2016) - 2015
- [j31]Shiping Wen, Tingwen Huang, Zhigang Zeng, Yiran Chen, Peng Li:
Circuit design and exponential stabilization of memristive neural networks. Neural Networks 63: 48-56 (2015) - [j30]Beiye Liu, Yiran Chen, Bryant Wysocki, Tingwen Huang:
Reconfigurable Neuromorphic Computing System with Memristor-Based Synapse Design. Neural Process. Lett. 41(2): 159-167 (2015) - [j29]Qing'an Li, Yanxiang He, Jianhua Li, Liang Shi, Yiran Chen, Chun Jason Xue:
Compiler-Assisted Refresh Minimization for Volatile STT-RAM Cache. IEEE Trans. Computers 64(8): 2169-2181 (2015) - [j28]Boxun Li, Peng Gu, Yi Shan, Yu Wang, Yiran Chen, Huazhong Yang:
RRAM-Based Analog Approximate Computing. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 34(12): 1905-1917 (2015) - [j27]Yiran Chen, Kiyoung Choi, Weisheng Zhao:
Guest Editorial for Special Issue on Emerging Memory Technologies - Modeling, Design, and Applications for Multi-Scale Computing. IEEE Trans. Multi Scale Comput. Syst. 1(3): 125-126 (2015) - [j26]Yaojun Zhang, Yong Li, Zhenyu Sun, Hai Li, Yiran Chen, Alex K. Jones:
Read Performance: The Newest Barrier in Scaled STT-RAM. IEEE Trans. Very Large Scale Integr. Syst. 23(6): 1170-1174 (2015) - [c118]Bonan Yan, Yaojun Zhang, Enes Eken, Wujie Wen, Weisheng Zhao, Yiran Chen:
Recent progresses of STT memory design and applications. ASICON 2015: 1-4 - [c117]Mimi Xie, Chen Pan, Jingtong Hu, Chengmo Yang, Yiran Chen:
Checkpoint-aware instruction scheduling for nonvolatile processor with multiple functional units. ASP-DAC 2015: 316-321 - [c116]Xiaoxiao Liu, Mengjie Mao, Xiuyuan Bi, Hai Li, Yiran Chen:
An efficient STT-RAM-based register file in GPU architectures. ASP-DAC 2015: 490-495 - [c115]Hai (Helen) Li, Chenchen Liu, Bonan Yan, Chaofei Yang, Linghao Song, Zheng Li, Yiran Chen, Weijie Zhu, Qing Wu, Hao Jiang:
Spiking-based matrix computation by leveraging memristor crossbar array. CISDA 2015: 1-4 - [c114]Wei Wen, Chi-Ruo Wu, Xiaofang Hu, Beiye Liu, Tsung-Yi Ho, Xin Li, Yiran Chen:
An EDA framework for large scale hybrid neuromorphic computing systems. DAC 2015: 12:1-12:6 - [c113]Chenchen Liu, Bonan Yan, Chaofei Yang, Linghao Song, Zheng Li, Beiye Liu, Yiran Chen, Hai Li, Qing Wu, Hao Jiang:
A spiking neuromorphic design with resistive crossbar. DAC 2015: 14:1-14:6 - [c112]Beiye Liu, Hai Li, Yiran Chen, Xin Li, Qing Wu, Tingwen Huang:
Vortex: variation-aware training for memristor X-bar. DAC 2015: 15:1-15:6 - [c111]Shouzhen Gu, Edwin Hsing-Mean Sha, Qingfeng Zhuge, Yiran Chen, Jingtong Hu:
Area and performance co-optimization for domain wall memory in application-specific embedded systems. DAC 2015: 20:1-20:6 - [c110]Xiang Chen, Yiran Chen, Chun Jason Xue:
DaTuM: dynamic tone mapping technique for OLED display power saving based on video classification. DAC 2015: 65:1-65:6 - [c109]Xiaoxiao Liu, Mengjie Mao, Beiye Liu, Hai Li, Yiran Chen, Boxun Li, Yu Wang, Hao Jiang, Mark Barnell, Qing Wu, Jianhua Joshua Yang:
RENO: a high-efficient reconfigurable neuromorphic computing accelerator design. DAC 2015: 66:1-66:6 - [c108]Mengjie Mao, Jingtong Hu, Yiran Chen, Hai Li:
VWS: a versatile warp scheduler for exploring diverse cache localities of GPGPU applications. DAC 2015: 83:1-83:6 - [c107]Beiye Liu, Chunpeng Wu, Hai Li, Yiran Chen, Qing Wu, Mark Barnell, Qinru Qiu:
Cloning your mind: security challenges in cognitive system designs and their solutions. DAC 2015: 95:1-95:5 - [c106]Jie Guo, Wujie Wen, Jingtong Hu, Danghui Wang, Hai Li, Yiran Chen:
FlexLevel: a novel NAND flash storage system design for LDPC latency reduction. DAC 2015: 194:1-194:6 - [c105]Tianqi Tang, Lixue Xia, Boxun Li, Rong Luo, Yiran Chen, Yu Wang, Huazhong Yang:
Spiking neural network with RRAM: can we use it for real-world application? DATE 2015: 860-865 - [c104]Yaojun Zhang, Bonan Yan, Wenqing Wu, Hai Li, Yiran Chen:
Giant spin hall effect (GSHE) logic design for low power application. DATE 2015: 1000-1005 - [c103]Beiye Liu, Wei Wen, Yiran Chen, Xin Li, Chi-Ruo Wu, Tsung-Yi Ho:
EDA Challenges for Memristor-Crossbar based Neuromorphic Computing. ACM Great Lakes Symposium on VLSI 2015: 185-188 - [c102]Hai Li, Beiye Liu, Xiaoxiao Liu, Mengjie Mao, Yiran Chen, Qing Wu, Qinru Qiu:
The applications of memristor devices in next-generation cortical processor designs. ISCAS 2015: 17-20 - [c101]Zheng Li, Bonan Yan, Lun Yang, Weisheng Zhao, Yiran Chen, Hai Li:
A new self-reference sensing scheme for TLC MRAM. ISCAS 2015: 593-596 - [c100]Ling Chen, Chuandong Li, Tingwen Huang, Shiping Wen, Yiran Chen:
Memristor Crossbar Array for Image Storing. ISNN 2015: 166-173 - [c99]Xian Zhang, Guangyu Sun, Chao Zhang, Weiqi Zhang, Yun Liang, Tao Wang, Yiran Chen, Jia Di:
Fork path: improving efficiency of ORAM by removing redundant memory accesses. MICRO 2015: 102-114 - [c98]Beiye Liu, Xiaoxiao Liu, Chenchen Liu, Wei Wen, M. Meng, Hai Li, Yiran Chen:
Hardware acceleration for neuromorphic computing: An evolving view. NVMTS 2015: 1-4 - 2014
- [j25]Ling Chen, Chuandong Li, Tingwen Huang, Yiran Chen, Xin Wang:
Memristor crossbar-based unsupervised image learning. Neural Comput. Appl. 25(2): 393-400 (2014) - [j24]Wujie Wen, Yaojun Zhang, Yiran Chen, Yu Wang, Yuan Xie:
PS3-RAM: A Fast Portable and Scalable Statistical STT-RAM Reliability/Energy Analysis Method. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 33(11): 1644-1656 (2014) - [j23]Miao Hu, Hai Li, Yiran Chen, Qing Wu, Garrett S. Rose, Richard W. Linderman:
Memristor Crossbar-Based Neuromorphic Computing System: A Case Study. IEEE Trans. Neural Networks Learn. Syst. 25(10): 1864-1878 (2014) - [c97]Mengjie Mao, Guangyu Sun, Yong Li, Alex K. Jones, Yiran Chen:
Prefetching techniques for STT-RAM based last-level cache in CMP systems. ASP-DAC 2014: 67-72 - [c96]Xiaoxiao Liu, Yong Li, Yaojun Zhang, Alex K. Jones, Yiran Chen:
STD-TLB: A STT-RAM-based dynamically-configurable translation lookaside buffer for GPU architectures. ASP-DAC 2014: 355-360 - [c95]Boxun Li, Yuzhi Wang, Yu Wang, Yiran Chen, Huazhong Yang:
Training itself: Mixed-signal training acceleration for memristor-based neural network. ASP-DAC 2014: 361-366 - [c94]Jie Guo, Zhijie Chen, Danghui Wang, Zili Shao, Yiran Chen:
DPA: A data pattern aware error prevention technique for NAND flash lifetime extension. ASP-DAC 2014: 592-597 - [c93]Miao Hu, Yu Wang, Qinru Qiu, Yiran Chen, Hai Li:
The stochastic modeling of TiO2 memristor and its usage in neuromorphic system design. ASP-DAC 2014: 831-836 - [c92]Chen Pan, Mimi Xie, Jingtong Hu, Yiran Chen, Chengmo Yang:
3M-PCM: Exploiting multiple write modes MLC phase change main memory in embedded systems. CODES+ISSS 2014: 33:1-33:10 - [c91]Mingui Sun, Lora E. Burke, Zhi-Hong Mao, Yiran Chen, Hsin-Chen Chen, Yicheng Bai, Yuecheng Li, Chengliu Li, Wenyan Jia:
eButton: A Wearable Computer for Health Monitoring and Personal Assistance. DAC 2014: 16:1-16:6 - [c90]Wujie Wen, Yaojun Zhang, Mengjie Mao, Yiran Chen:
State-Restrict MLC STT-RAM Designs for High-Reliable High-Performance Memory System. DAC 2014: 35:1-35:6 - [c89]Enes Eken, Yaojun Zhang, Wujie Wen, Rajiv V. Joshi, Hai Li, Yiran Chen:
A New Field-assisted Access Scheme of STT-RAM with Self-reference Capability. DAC 2014: 63:1-63:6 - [c88]Xiang Chen, Yiran Chen, Mian Dong, Jianzhong (Charlie) Zhang:
Demystifying Energy Usage in Smartphones. DAC 2014: 70:1-70:5 - [c87]Mengjie Mao, Wujie Wen, Yaojun Zhang, Yiran Chen, Hai (Helen) Li:
Exploration of GPGPU Register File Architecture Using Domain-wall-shift-write based Racetrack Memory. DAC 2014: 196:1-196:6 - [c86]Yu Wang, Boxun Li, Rong Luo, Yiran Chen, Ningyi Xu, Huazhong Yang:
Energy efficient neural networks for big data analytics. DATE 2014: 1-2 - [c85]Boxun Li, Yu Wang, Yiran Chen, Hai (Helen) Li, Huazhong Yang:
ICE: Inline calibration for memristor crossbar-based computing engine. DATE 2014: 1-4 - [c84]Xiang Chen, Kent W. Nixon, Hucheng Zhou, Yunxin Liu, Yiran Chen:
FingerShadow: An OLED Power Optimization Based on Smartphone Touch Interactions. HotPower 2014 - [c83]Kent W. Nixon, Xiang Chen, Hucheng Zhou, Yunxin Liu, Yiran Chen:
Mobile GPU Power Consumption Reduction via Dynamic Resolution and Frame Rate Scaling. HotPower 2014 - [c82]Xiaoxiao Liu, Mengjie Mao, Hai Li, Yiran Chen, Hao Jiang, J. Joshua Yang, Qing Wu, Mark Barnell:
A heterogeneous computing system with memristor-based neuromorphic accelerators. HPEC 2014: 1-6 - [c81]Beiye Liu, Hai Li, Yiran Chen, Xin Li, Tingwen Huang, Qing Wu, Mark Barnell:
Reduction and IR-drop compensations techniques for reliable neuromorphic computing systems. ICCAD 2014: 63-70 - [c80]Danghui Wang, Jie Guo, Kai Bu, Yiran Chen:
Reduction of data prevention cost and improvement of reliability in MLC NAND flash storage system. ICNC 2014: 259-263 - [c79]Ling Chen, Chuandong Li, Tingwen Huang, Xing He, Hai Li, Yiran Chen:
STDP learning rule based on memristor with STDP property. IJCNN 2014: 1-6 - [c78]Xiaofang Hu, Gang Feng, Hai Li, Yiran Chen, Shukai Duan:
An adjustable memristor model and its application in small-world neural networks. IJCNN 2014: 7-14 - [c77]Qing Wu, Beiye Liu, Yiran Chen, Hai Li, Qiuwen Chen, Qinru Qiu:
Bio-inspired computing with resistive memories - models, architectures and applications. ISCAS 2014: 834-837 - [c76]Hai Li, Xiaoxiao Liu, Mengjie Mao, Yiran Chen, Qing Wu, Mark Barnell:
Neuromorphic hardware acceleration enabled by emerging technologies (Invited paper). ISIC 2014: 124-127 - [c75]Chao Zhang, Guangyu Sun, Peng Li, Tao Wang, Dimin Niu, Yiran Chen:
SBAC: a statistics based cache bypassing method for asymmetric-access caches. ISLPED 2014: 345-350 - [c74]Xiaojuan Li, Shukai Duan, Lidan Wang, Tingwen Huang, Yiran Chen:
Memristive Radial Basis Function Neural Network for Parameters Adjustment of PID Controller. ISNN 2014: 150-158 - [c73]Ismail Bayram, Yiran Chen:
NV-TCAM: Alternative interests and practices in NVM designs. NVMSA 2014: 1-6 - [p1]Kent W. Nixon, Yiran Chen, Zhi-Hong Mao, Kang Li:
User Classification and Authentication for Mobile Device Based on Gesture Recognition. Network Science and Cybersecurity 2014: 125-135 - 2013
- [j22]Yiran Chen, Weng-Fai Wong, Hai Li, Cheng-Kok Koh, Yaojun Zhang, Wujie Wen:
On-chip caches built on multilevel spin-transfer torque RAM cells and its optimizations. ACM J. Emerg. Technol. Comput. Syst. 9(2): 16:1-16:22 (2013) - [j21]Shiping Wen, Zhigang Zeng, Tingwen Huang, Yiran Chen:
Passivity analysis of memristor-based recurrent neural networks with time-varying delays. J. Frankl. Inst. 350(8): 2354-2370 (2013) - [j20]Shiping Wen, Gang Bao, Zhigang Zeng, Yiran Chen, Tingwen Huang:
Global exponential synchronization of memristor-based recurrent neural networks with time-varying delays. Neural Networks 48: 195-203 (2013) - [j19]Mengying Zhao, Yiran Chen, Xiang Chen, Chun Jason Xue:
Online OLED dynamic voltage scaling for video streaming applications on mobile devices. SIGBED Rev. 10(2): 18 (2013) - [j18]Linwei Niu, Luis Medina, Yiran Chen:
Reliability-aware energy minimization for real-time embedded systems with window-constraints. SIGBED Rev. 10(2): 26 (2013) - [j17]Yong Li, Yaojun Zhang, Hai Li, Yiran Chen, Alex K. Jones:
C1C: A configurable, compiler-guided STT-RAM L1 cache. ACM Trans. Archit. Code Optim. 10(4): 52:1-52:22 (2013) - [j16]Bo Zhao, Jun Yang, Youtao Zhang, Yiran Chen, Hai Li:
Common-source-line array: An area efficient memory architecture for bipolar nonvolatile devices. ACM Trans. Design Autom. Electr. Syst. 18(4): 57:1-57:18 (2013) - [j15]Jianhua Li, Liang Shi, Qing'an Li, Chun Jason Xue, Yiran Chen, Yinlong Xu, Wei Wang:
Low-energy volatile STT-RAM cache design using cache-coherence-enabled adaptive refresh. ACM Trans. Design Autom. Electr. Syst. 19(1): 5:1-5:23 (2013) - [c72]Qing'an Li, Jianhua Li, Liang Shi, Chun Jason Xue, Yiran Chen, Yanxiang He:
Compiler-assisted refresh minimization for volatile STT-RAM cache. ASP-DAC 2013: 273-278 - [c71]Wujie Wen, Yaojun Zhang, Lu Zhang, Yiran Chen:
Loadsa: A yield-driven top-down design method for STT-RAM array. ASP-DAC 2013: 291-296 - [c70]Kent W. Nixon, Xiang Chen, Zhi-Hong Mao, Yiran Chen, Kang Li:
Mobile user classification and authorization based on gesture usage recognition. ASP-DAC 2013: 384-389 - [c69]Miao Hu, Hai Li, Yiran Chen, Qing Wu, Garrett S. Rose:
BSB training scheme implementation on memristor-based circuit. CISDA 2013: 80-87 - [c68]Mengying Zhao, Hao Zhang, Xiang Chen, Yiran Chen, Chun Jason Xue:
Online OLED dynamic voltage scaling for video streaming applications on mobile devices. CODES+ISSS 2013: 9:1-9:10 - [c67]Beiye Liu, Miao Hu, Hai Li, Yiran Chen, Chun Xue:
Bio-inspired ultra lower-power neuromorphic computing engine for embedded systems. CODES+ISSS 2013: 23:1 - [c66]Beiye Liu, Miao Hu, Hai Li, Zhi-Hong Mao, Yiran Chen, Tingwen Huang, Wei Zhang:
Digital-assisted noise-eliminating training for memristor crossbar-based analog neuromorphic computing engine. DAC 2013: 7:1-7:6 - [c65]Jie Guo, Wujie Wen, Yaojun Zhang, Sicheng Li, Hai Li, Yiran Chen:
DA-RAID-5: a disturb aware data protection technique for NAND flash storage systems. DATE 2013: 380-385 - [c64]Jie Guo, Jun Yang, Youtao Zhang, Yiran Chen:
Low cost power failure protection for MLC NAND flash storage systems with PRAM/DRAM hybrid buffer. DATE 2013: 859-864 - [c63]Jianhua Li, Liang Shi, Qing'an Li, Chun Jason Xue, Yiran Chen, Yinlong Xu:
Cache coherence enabled adaptive refresh for volatile STT-RAM. DATE 2013: 1247-1250 - [c62]Mengjie Mao, Hai (Helen) Li, Alex K. Jones, Yiran Chen:
Coordinating prefetching and STT-RAM based last-level cache management for multicore systems. ACM Great Lakes Symposium on VLSI 2013: 55-60 - [c61]Wujie Wen, Mengjie Mao, Xiaochun Zhu, Seung-Hyuk Kang, Danghui Wang, Yiran Chen:
CD-ECC: content-dependent error correction codes for combating asymmetric nonvolatile memory operation errors. ICCAD 2013: 1-8 - [c60]Yaojun Zhang, Ismail Bayram, Yu Wang, Hai Li, Yiran Chen:
ADAMS: asymmetric differential STT-RAM cell structure for reliable and high-performance applications. ICCAD 2013: 9-16 - [c59]Alex K. Jones, Yiran Chen, William O. Collinge, Haifeng Xu, Laura A. Schaefer, Amy E. Landis, Melissa M. Bilec:
Considering fabrication in sustainable computing. ICCAD 2013: 206-210 - [c58]Yaojun Zhang, Lu Zhang, Yiran Chen:
MLC STT-RAM design considering probabilistic and asymmetric MTJ switching. ISCAS 2013: 113-116 - [c57]Boxun Li, Yi Shan, Miao Hu, Yu Wang, Yiran Chen, Huazhong Yang:
Memristor-based approximated computation. ISLPED 2013: 242-247 - [c56]Xiang Chen, Yiran Chen, Zhan Ma, Felix C. A. Fernandes:
How is energy consumed in smartphone display applications? HotMobile 2013: 3 - 2012
- [j14]Yong Li, Yaojun Zhang, Yiran Chen, Alex K. Jones:
Combating Write Penalties Using Software Dispatch for On-Chip MRAM Integration. IEEE Embed. Syst. Lett. 4(4): 82-85 (2012) - [j13]Zhenyu Sun, Xiang Chen, Yaojun Zhang, Hai Li, Yiran Chen:
Nonvolatile Memories as the Data Storage System for Implantable ECG Recorder. ACM J. Emerg. Technol. Comput. Syst. 8(2): 13:1-13:16 (2012) - [j12]Yiran Chen, Hai Li, Xiaobin Wang, Wenzhong Zhu, Wei Xu, Tong Zhang:
A 130 nm 1.2 V/3.3 V 16 Kb Spin-Transfer Torque Random Access Memory With Nondestructive Self-Reference Sensing Scheme. IEEE J. Solid State Circuits 47(2): 560-573 (2012) - [j11]Zhenyu Sun, Hai Li, Yiran Chen, Xiaobin Wang:
Voltage Driven Nondestructive Self-Reference Sensing Scheme of Spin-Transfer Torque Memory. IEEE Trans. Very Large Scale Integr. Syst. 20(11): 2020-2030 (2012) - [c55]Yiran Chen, Yaojun Zhang, Peiyuan Wang:
Probabilistic design in spintronic memory and logic circuit. ASP-DAC 2012: 323-328 - [c54]Xiang Chen, Jian Zheng, Yiran Chen, Wei Zhang, Hai Li:
Fine-grained dynamic voltage scaling on OLED display. ASP-DAC 2012: 807-812 - [c53]Robinson E. Pino, Hai (Helen) Li, Yiran Chen, Miao Hu, Beiye Liu:
Statistical memristor modeling and case study in neuromorphic computing. DAC 2012: 585-590 - [c52]Xiang Chen, Jian Zheng, Yiran Chen, Mengying Zhao, Chun Jason Xue:
Quality-retaining OLED dynamic voltage scaling for video streaming applications on mobile devices. DAC 2012: 1000-1005 - [c51]Wujie Wen, Yaojun Zhang, Yiran Chen, Yu Wang, Yuan Xie:
PS3-RAM: a fast portable and scalable statistical STT-RAM reliability analysis method. DAC 2012: 1191-1196 - [c50]Xiuyuan Bi, Chao Zhang, Hai Li, Yiran Chen, Robinson E. Pino:
Spintronic memristor based temperature sensor design with CMOS current reference. DATE 2012: 1301-1306 - [c49]Yaojun Zhang, Xiaobin Wang, Yong Li, Alex K. Jones, Yiran Chen:
Asymmetry of MTJ switching and its implication to STT-RAM designs. DATE 2012: 1313-1318 - [c48]Bo Zhao, Jun Yang, Youtao Zhang, Yiran Chen, Hai Li:
Architecting a common-source-line array for bipolar non-volatile memory devices. DATE 2012: 1451-1454 - [c47]Xiang Chen, Beiye Liu, Yiran Chen, Mengying Zhao, Chun Jason Xue, Xiaojun Guo:
Active compensation technique for the thin-film transistor variations and OLED aging of mobile device displays. ICCAD 2012: 516-522 - [c46]Yaojun Zhang, Lu Zhang, Wujie Wen, Guangyu Sun, Yiran Chen:
Multi-level cell STT-RAM: Is it realistic or just a dream? ICCAD 2012: 526-532 - [c45]Yiran Chen, Xiang Chen, Mengying Zhao, Chun Jason Xue:
Mobile devices user - The subscriber and also the publisher of real-time OLED display power management plan. ICCAD 2012: 687-690 - [c44]Peiyuan Wang, Wei Zhang, Rajiv V. Joshi, Rouwaida Kanj, Yiran Chen:
A thermal and process variation aware MTJ switching model and its applications in soft error analysis. ICCAD 2012: 720-727 - [c43]Beiye Liu, Yiran Chen, Bryant Wysocki, Tingwen Huang:
The Circuit Realization of a Neuromorphic Computing System with Memristor-Based Synapse Design. ICONIP (1) 2012: 357-365 - [c42]Miao Hu, Hai Li, Qing Wu, Garrett S. Rose, Yiran Chen:
Memristor crossbar based hardware realization of BSB recall function. IJCNN 2012: 1-7 - [c41]Guangyu Sun, Yaojun Zhang, Yu Wang, Yiran Chen:
Improving energy efficiency of write-asymmetric memories by log style write. ISLPED 2012: 173-178 - [c40]Yong Li, Yiran Chen, Alex K. Jones:
A software approach for combating asymmetries of non-volatile memories. ISLPED 2012: 191-196 - [c39]Zili Shao, Yongpan Liu, Yiran Chen, Tao Li:
Utilizing PCM for Energy Optimization in Embedded Systems. ISVLSI 2012: 398-403 - [c38]Yiran Chen, Qing Wu:
Neuromorphic computing: A SoC scaling path for the next decades. SoCC 2012: 290-291 - [r1]Yiran Chen, Hai Li, Yuan Xie, Dimin Niu:
Low-Power Design of Emerging Memory Technologies. Handbook of Energy-Aware and Green Computing 2012: 67-90 - 2011
- [j10]Xiangyu Dong, Xiaoxia Wu, Yuan Xie, Yiran Chen, Hai (Helen) Li:
Stacking magnetic random access memory atop microprocessors: an architecture-level evaluation. IET Comput. Digit. Tech. 5(3): 213-220 (2011) - [j9]Miao Hu, Hai (Helen) Li, Yiran Chen, Xiaobin Wang:
Spintronic Memristor: Compact Model and Statistical Analysis. J. Low Power Electron. 7(2): 234-244 (2011) - [j8]Wei Xu, Hongbin Sun, Xiaobin Wang, Yiran Chen, Tong Zhang:
Design of Last-Level On-Chip Cache Using Spin-Torque Transfer RAM (STT RAM). IEEE Trans. Very Large Scale Integr. Syst. 19(3): 483-493 (2011) - [c37]Ping Zhou, Bo Zhao, Youtao Zhang, Jun Yang, Yiran Chen:
MRAC: A Memristor-based Reconfigurable Framework for Adaptive Cache Replacement. PACT 2011: 207-208 - [c36]Miao Hu, Hai Li, Yiran Chen, Xiaobin Wang, Robinson E. Pino:
Geometry variations analysis of TiO2 thin-film and spintronic memristors. ASP-DAC 2011: 25-30 - [c35]Yiran Chen, Hai Li:
Emerging sensing techniques for emerging memories. ASP-DAC 2011: 204-210 - [c34]Peiyuan Wang, Xiang Chen, Yiran Chen, Hai Li, Seung-Hyuk Kang, Xiaochun Zhu, Wenqing Wu:
A 1.0V 45nm nonvolatile magnetic latch design and its robustness analysis. CICC 2011: 1-4 - [c33]Chun Jason Xue, Youtao Zhang, Yiran Chen, Guangyu Sun, Jianhua Joshua Yang, Hai Li:
Emerging non-volatile memories: opportunities and challenges. CODES+ISSS 2011: 325-334 - [c32]Yi-Chung Chen, Hai Li, Yiran Chen, Robinson E. Pino:
3D-ICML: A 3D bipolar ReRAM design with interleaved complementary memory layers. DATE 2011: 583-586 - [c31]Yaojun Zhang, Xiaobin Wang, Yiran Chen:
STT-RAM cell design optimization for persistent and non-persistent error rate reduction: A statistical design view. ICCAD 2011: 471-477 - [c30]Yiran Chen, Weng-Fai Wong, Hai Li, Cheng-Kok Koh:
Processor caches with multi-level spin-transfer torque ram cells. ISLPED 2011: 73-78 - 2010
- [j7]Wei Xu, Tong Zhang, Yiran Chen:
Design of Spin-Torque Transfer Magnetoresistive RAM and CAM/TCAM with High Sensing and Search Speed. IEEE Trans. Very Large Scale Integr. Syst. 18(1): 66-74 (2010) - [j6]Yiran Chen, Hai Li, Cheng-Kok Koh, Guangyu Sun, Jing Li, Yuan Xie, Kaushik Roy:
Variable-Latency Adder (VL-Adder) Designs for Low Power and NBTI Tolerance. IEEE Trans. Very Large Scale Integr. Syst. 18(11): 1621-1624 (2010) - [j5]Yiran Chen, Xiaobin Wang, Hai Li, Haiwen Xi, Yuan Yan, Wenzhong Zhu:
Design Margin Exploration of Spin-Transfer Torque RAM (STT-RAM) in Scaled Technologies. IEEE Trans. Very Large Scale Integr. Syst. 18(12): 1724-1734 (2010) - [c29]Dimin Niu, Yiran Chen, Cong Xu, Yuan Xie:
Impact of process variations on emerging memristor. DAC 2010: 877-882 - [c28]Yiran Chen, Hai Li, Xiaobin Wang, Wenzhong Zhu, Wei Xu, Tong Zhang:
A nondestructive self-reference scheme for Spin-Transfer Torque Random Access Memory (STT-RAM). DATE 2010: 148-153 - [c27]Xiaobin Wang, Yiran Chen:
Spintronic memristor devices and application. DATE 2010: 667-672 - [c26]Guangyu Sun, Yongsoo Joo, Yibo Chen, Dimin Niu, Yuan Xie, Yiran Chen, Hai Li:
A Hybrid solid-state storage architecture for the performance, energy consumption, and lifetime improvement. HPCA 2010: 1-12 - [c25]Zhenyu Sun, Hai Li, Yiran Chen, Xiaobin Wang:
Variation tolerant sensing scheme of Spin-Transfer Torque Memory for yield improvement. ICCAD 2010: 432-437 - [c24]Yiran Chen, Hai Li, Xiaobin Wang, Wenzhong Zhu, Wei Xu, Tong Zhang:
Combined magnetic- and circuit-level enhancements for the nondestructive self-reference scheme of STT-RAM. ISLPED 2010: 1-6 - [c23]Dimin Niu, Yiran Chen, Yuan Xie:
Low-power dual-element memristor based memory design. ISLPED 2010: 25-30 - [c22]Yiran Chen, Wei Tian, Hai Li, Xiaobin Wang, Wenzhong Zhu:
Scalability of PCMO-based resistive switch device in DSM technologies. ISQED 2010: 327-332
2000 – 2009
- 2009
- [j4]Cheng-Kok Koh, Weng-Fai Wong, Yiran Chen, Hai Li:
Tolerating process variations in large, set-associative caches: The buddy cache. ACM Trans. Archit. Code Optim. 6(2): 8:1-8:34 (2009) - [j3]Yiran Chen, Hai Li, Kaushik Roy, Cheng-Kok Koh:
Gated Decap: Gate Leakage Control of On-Chip Decoupling Capacitors in Scaled Technologies. IEEE Trans. Very Large Scale Integr. Syst. 17(12): 1749-1752 (2009) - [c21]Wei Xu, Yiran Chen, Xiaobin Wang, Tong Zhang:
Improving STT MRAM storage density through smaller-than-worst-case transistor sizing. DAC 2009: 87-90 - [c20]Hai Li, Yiran Chen:
An overview of non-volatile memory technology and the implication for tools and architectures. DATE 2009: 731-736 - [c19]Guangyu Sun, Xiangyu Dong, Yuan Xie, Jian Li, Yiran Chen:
A novel architecture of the 3D stacked MRAM L2 cache for CMPs. HPCA 2009: 239-249 - [c18]Cheng-Kok Koh, Weng-Fai Wong, Yiran Chen, Hai Li:
The salvage cache: A fault-tolerant cache architecture for next-generation memory technologies. ICCD 2009: 268-274 - [c17]Hai Li, Haiwen Xi, Yiran Chen, John Stricklin, Xiaobin Wang, Tong Zhang:
Thermal-Assisted Spin Transfer Torque Memory (STT-RAM) Cell Design Exploration. ISVLSI 2009: 217-222 - [c16]Yiran Chen, Xiaobin Wang:
Compact modeling and corner analysis of spintronic memristor. NANOARCH 2009: 7-12 - 2008
- [c15]Xiangyu Dong, Xiaoxia Wu, Guangyu Sun, Yuan Xie, Hai Li, Yiran Chen:
Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement. DAC 2008: 554-559 - [c14]Wei Xu, Tong Zhang, Yiran Chen:
Spin-transfer torque magnetoresistive content addressable memory (CAM) cell structure design with enhanced search noise margin. ISCAS 2008: 1898-1901 - [c13]Yiran Chen, Xiaobin Wang, Hai Li, Harry Liu, Dimitar V. Dimitrov:
Design Margin Exploration of Spin-Torque Transfer RAM (SPRAM). ISQED 2008: 684-690 - 2007
- [c12]Weng-Fai Wong, Cheng-Kok Koh, Yiran Chen, Hai Li:
VOSCH: Voltage scaled cache hierarchies. ICCD 2007: 496-503 - [c11]Yiran Chen, Hai Li, Jing Li, Cheng-Kok Koh:
Variable-latency adder (VL-adder): new arithmetic circuit design practice to overcome NBTI. ISLPED 2007: 195-200 - [c10]Hong Li, Cheng-Kok Koh, Venkataramanan Balakrishnan, Yiran Chen:
Statistical Timing Analysis Considering Spatial Correlations. ISQED 2007: 102-107 - 2006
- [c9]Hai Li, Yiran Chen, Kaushik Roy, Cheng-Kok Koh:
SAVS: a self-adaptive variable supply-voltage technique for process- tolerant and power-efficient multi-issue superscalar processor design. ASP-DAC 2006: 158-163 - 2005
- [j2]Yiran Chen, Kaushik Roy, Cheng-Kok Koh:
Current demand balancing: a technique for minimization of current surge in high performance clock-gated microprocessors. IEEE Trans. Very Large Scale Integr. Syst. 13(1): 75-85 (2005) - [c8]Yiran Chen, Hai Li, Kaushik Roy, Cheng-Kok Koh:
Gated Decap: gate leakage control of on-chip decoupling capacitors in scaled technologies. CICC 2005: 775-778 - [c7]Wai-Ching Douglas Lam, Jitesh Jain, Cheng-Kok Koh, Venkataramanan Balakrishnan, Yiran Chen:
Statistical based link insertion for robust clock network design. ICCAD 2005: 588-591 - [c6]Yiran Chen, Hai Li, Kaushik Roy, Cheng-Kok Koh:
Cascaded carry-select adder (C2SA): a new structure for low-power CSA design. ISLPED 2005: 115-118 - [c5]Dongku Kang, Yiran Chen, Kaushik Roy:
Power Supply Noise-Aware Scheduling and Allocation for DSP Synthesis. ISQED 2005: 48-53 - 2004
- [j1]Hai Li, Swarup Bhunia, Yiran Chen, Kaushik Roy, T. N. Vijaykumar:
DCG: deterministic clock-gating for low-power microprocessor design. IEEE Trans. Very Large Scale Integr. Syst. 12(3): 245-254 (2004) - [c4]Yiran Chen, Kaushik Roy, Cheng-Kok Koh:
Priority assignment optimization for minimization of current surge in high performance power efficient clock-gated microprocessor. ASP-DAC 2004: 893-898 - 2003
- [c3]Hai Li, Swarup Bhunia, Yiran Chen, T. N. Vijaykumar, Kaushik Roy:
Deterministic Clock Gating for Microprocessor Power Reduction. HPCA 2003: 113-122 - [c2]Yiran Chen, Kaushik Roy, Cheng-Kok Koh:
Integrated architectural/physical planning approach for minimization of current surge in high performance clock-gated microprocessors. ISLPED 2003: 229-234 - 2002
- [c1]Yiran Chen, Venkataramanan Balakrishnan, Cheng-Kok Koh, Kaushik Roy:
Model Reduction in the Time-Domain Using Laguerre Polynomials and Krylov Methods. DATE 2002: 931-935
Coauthor Index
aka: Xiuli Chai
aka: Jianhua Joshua Yang
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-10-31 20:14 CET by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint